Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Greg McIntyre is active.

Publication


Featured researches published by Greg McIntyre.


Proceedings of SPIE | 2010

Performance of FlexRay: a fully programmable illumination system for generation of freeform sources on high NA immersion systems

Andre Engelen; Oscar Noordman; Gert Streutker; Bert van Drieenhuizen; Cas van Nuenen; Wilfred Edward Endendijk; Jef Verbeeck; Wim Bouman; Anita Bouma; Robert Kazinczi; Robert John Socha; Dirk Jürgens; Joerg Zimmermann; Bastian Trauter; Joost Bekaert; Bart Laenens; Daniel Corliss; Greg McIntyre

This paper describes the principle and performance of FlexRay, a fully programmable illuminator for high NA immersion systems. Sources can be generated on demand, by manipulating an array of mirrors instead of the traditional way of inserting optical elements and changing lens positions. On demand (freeform) source availability allows for reduction in R&D cycle time and shrink in k1. Unlimited tuning allows for better machine to machine matching. FlexRay has been integrated in a 1.35NA TWINSCAN exposure system. We will present data of FlexRay using measured traditional and freeform illumination sources. In addition system performance qualification data on stability, reproducibility and imaging will be shown. The benefit of FlexRay for SMO enabling shrink is demonstrated using an SRAM example.


Proceedings of SPIE | 2009

Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process

Kafai Lai; Alan E. Rosenbluth; Saeed Bagheri; John A. Hoffnagle; Kehan Tian; David O. Melville; Jaione Tirapu-Azpiroz; Moutaz Fakhry; Young Kim; Scott Halle; Greg McIntyre; Alfred Wagner; Geoffrey W. Burr; Martin Burkhardt; Daniel Corliss; Emily Gallagher; Tom Faure; Michael S. Hibbs; Donis G. Flagello; Joerg Zimmermann; Bernhard Kneer; Frank Rohmund; Frank Hartung; Christoph Hennerkes; Manfred Maul; Robert Kazinczi; Andre Engelen; Rene Carpaij; Remco Jochem Sebastiaan Groenendijk; Joost Hageman

We demonstrate experimentally for the first time the feasibility of applying SMO technology using pixelated illumination. Wafer images of SRAM contact holes were obtained to confirm the feasibility of using SMO for 22nm node lithography. There are still challenges in other areas of SMO integration such as mask build, mask inspection and repair, process modeling, full chip design issues and pixelated illumination, which is the emphasis in this paper. In this first attempt we successfully designed a manufacturable pixelated source and had it fabricated and installed in an exposure tool. The printing result is satisfactory, although there are still some deviations of the wafer image from simulation prediction. Further experiment and modeling of the impact of errors in source design and manufacturing will proceed in more detail. We believe that by tightening all kind of specification and optimizing all procedures will make pixelated illumination a viable technology for 22nm or beyond. Publishers Note: The author listing for this paper has been updated to include Carsten Russ. The PDF has been updated to reflect this change.


Proceedings of SPIE | 2010

Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations

David O. Melville; Alan E. Rosenbluth; Kehan Tian; Kafai Lai; Saeed Bagheri; Jaione Tirapu-Azpiroz; Jason Meiring; Scott Halle; Greg McIntyre; Tom Faure; Daniel Corliss; Azalia A. Krasnoperova; Lei Zhuang; Phil Strenski; Andreas Waechter; Laszlo Ladanyi; Francisco Barahona; Daniele Paolo Scarpazza; Jon Lee; Tadanobu Inoue; Masaharu Sakamoto; Hidemasa Muta; Alfred Wagner; Geoffrey W. Burr; Young Kim; Emily Gallagher; Mike Hibbs; Alexander Tritchkov; Yuri Granik; Moutaz Fakhry

In recent years the potential of Source-Mask Optimization (SMO) as an enabling technology for 22nm-and-beyond lithography has been explored and documented in the literature.1-5 It has been shown that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the mask and the source, which leads to improved lithographic performance. These efforts have driven the need for improved controllability in illumination5-7 and have pushed the required optimization performance of mask design.8, 9 This paper will present recent experimental evidence of the performance advantage gained by intensive optimization, and enabling technologies like pixelated illumination. Controllable pixelated illumination opens up new regimes in control of proximity effects,1, 6, 7 and we will show corresponding examples of improved through-pitch performance in 22nm Resolution Enhancement Technique (RET). Simulation results will back-up the experimental results and detail the ability of SMO to drive exposure-count reduction, as well as a reduction in process variation due to critical factors such as Line Edge Roughness (LER), Mask Error Enhancement Factor (MEEF), and the Electromagnetic Field (EMF) effect. The benefits of running intensive optimization with both source and mask variables jointly has been previously discussed.1-3 This paper will build on these results by demonstrating large-scale jointly-optimized source/mask solutions and their impact on design-rule enumerated designs.


Journal of Micro-nanolithography Mems and Moems | 2010

Lithographic qualification of new opaque MoSi binary mask blank for the 32-nm node and beyond

Greg McIntyre; Michael S. Hibbs; Jaione Tirapu-Azpiroz; Geng Han; Scott Halle; Tom Faure; Ryan P. Deschner; Brad Morgenfeld; Sridhar Ramaswamy; Alfred Wagner; Tim Brunner; Yasutaka Kikuchi

We discuss the lithographic qualification of a new type of binary mask blank consisting of an opaque layer of MoSi on a glass substrate, referred to simply as OMOG. First, OMOG lithographic performance will be compared to a previous chrome/MoSi/glass binary intensity mask (BIM) blank. Standard 70-nm chrome on class (COG) was not considered, as it failed to meet mask-making requirements. Theory and a series of simulation and experimental studies show OMOG to outperform BIM, particularly due to electromagnetic effects and optical proximity correction (OPC) predictability concerns, as OMOG behaves very similarly to the ideal thin mask approximation (TMA). A new TMA-predictability metric is defined as a means to compare mask blanks. We weigh the relative advantages and disadvantages of OMOG compared to 6% attenuated phase shifting. Although both mask blanks are likely sufficient for the 32-nm and 22-nm nodes, some differences exist and are described. Overall, however, of the blanks considered, it is concluded that OMOG provides the most robust and extendable imaging solution available for 32-nm and beyond.


Proceedings of SPIE | 2008

Reflectivity-induced Variation in Implant Layer Lithography

Todd C. Bailey; Greg McIntyre; Bidan Zhang; Ryan P. Deschner; Sohan Singh Mehta; Won Jun Song; Hyung-Rae Lee; Yu Hue; MaryJane Brodsky

Scaling of designs to the 45nm and future nodes presents challenges for block level lithography. Shrinking distances between devices drive aggressive resist placement tolerances, challenging the ability to control critical dimension (CD). In particular, the potential variation in shallow trench isolation oxide may result in variation of resist profile and CD, thereby affecting edge placement accuracy. Potential sources of this include wafer-to-wafer or within-wafer STI trench depth variations, and STI CMP variations that may be induced by active area pattern density fluctuations. Some other potential sources of CD fluctuation include oxide sidewall variation, and implant level overlay or CD errors modulating the proximity to the oxide sidewall. Depending on the actual variation of isolation oxide and the exposure latitude of the resist, the CD variations simply from oxide variation may consume a large portion of the CD budget. Several examples are given of variations in resist profile and CD arising from these substrate effects. The CD uniformity of a test structure was shown to decrease dramatically with the addition of a BARC to the resist stack, most likely due to the suppression of substrate reflectivity variations. Simulations performed using Panoramic Technologies software demonstrated the potential sensitivity of the factors outlined above on CD and profile errors. A comparison of simulated vs. experimental results is made for a case of intentional overlay error, showing the failure mode of the resist profile as the mask edge passes from STI to the active area. The simulations using a full physical model provided with the simulation software predict a resist foot forming over the active area, which was confirmed experimentally.


Proceedings of SPIE | 2013

Mask 3D effects and compensation for high NA EUV lithography

Sudharshanan Raghunathan; Greg McIntyre; Germain L. Fenger; Obert Wood

Mask shadow compensation for EUV lithography has typically been performed using simple rule-based schemes during optical proximity correction (OPC). However, as feature sizes decrease, the required corrections get more complex as they become dependent on both feature size and type. Thus, OPC models that account for these 3D mask effects are becoming essential. These models become even more important for higher numerical aperture EUV systems due to larger angles of incidence on the mask and tighter process budgets for CD and overlay. This paper will focus on estimating these 3D mask effects and evaluate the extendibility of current available OPC models for some specific higher numerical aperture EUV systems. It is concluded that the current available 3D mask models are capturing the primary effects and it is believed that with further refinement they are likely extendable to meet the needs of future high-NA tools. Additionally, a combination of thinner mask absorber, tighter scanner focus control and/or larger optical magnification will likely be required to print sub-30nm pitch structures with higher numerical aperture EUV systems.


Proceedings of SPIE | 2014

Driving the industry towards a consensus on high numerical aperture (high-NA) extreme ultraviolet (EUV)

Patrick Kearney; Obert Wood; Eric Hendrickx; Greg McIntyre; Soichi Inoue; Frank Goodwin; Stefan Wurm; Jan van Schoot; Winfried Kaiser

High numerical aperture (high-NA) extreme ultraviolet (EUV) is one option to enable a higher resolution than EUV can achieve with single patterning. An industry effort to achieve consensus on the key parameters of high-NA EUV is described. At high-NA, three-dimensional (3D) mask effects cause a loss of contrast in the image that is recovered by increasing the scanner de-magnification. This leads to a tradeoff between wafer field and mask size that has considerable impact on mask cost and scanner cost of ownership.


Proceedings of SPIE | 2014

Clear sub-resolution assist features for EUV

Martin Burkhardt; Greg McIntyre; Ralph Schlief; Lei Sun

In EUV lithography, the short wavelength of the light makes the topography of the mask stand out as three dimensional objects rather than thin masks. This generally requires use of a rigorous scattering simulator to calculate the diffracted orders of a mask in order to explain experimental results. In contrast, for optical proximity correction we cannot afford such detailed calculations and we would like to replace such detailed simulations with faster methods that give similar results. In this paper, we discuss observations we made during our printing experiments on a 0.33 NA EUV projection system. In order to extend the process window for non-nested trenches we introduced clear assist features. We observed strong tilt of Bossung curves and best focus shifts for certain pitches. These shifts can be explained by a phase difference between main and assist feature. This effect is very similar for both horizontal and vertical trenches, and it depends strongly on the illumination of the mask. We find that the best focus shift can be minimized for certain assist pitches and illumination conditions, but a general solution for random pitches appears not obvious.


Proceedings of SPIE | 2010

Spacer defined double patterning for sub-72 nm pitch logic technology

Ryoung-Han Kim; Erin Mclellan; Yunpeng Yin; John C. Arnold; Sivananda K. Kanakasabapathy; Sanjay Mehta; Yuansheng Ma; Martin Burkhardt; Jason P. Cain; Greg McIntyre; Matthew E. Colburn; Harry J. Levinson

In order to extend the optical lithography into sub-72 nm pitch regime, spacer defined double patterning as a self-aligning process option was investigated. In the sidewall defined spacer process, spacer material was deposited directly on the resist to achieve process simplification and cost effectiveness. For the spacer defined double patterning, core mandrel CD uniformity is proven to be a main contributor to pitch-walking and defined a new lithographic process window. Here, the aerial image log-slope is shown to be a measurable predictor of CD uniformity and sidewall angle of the resist pattern. Through resist screening and illumination optimization, resist core-mandrel of 2.5 nm CD uniformity across a focus range more than 200 nm with ± 3.5 % exposure latitude was developed having sidewall control close to the normal. Finally etch revealed that pitch-walking post pitch split can be suppressed below 2 nm within ± 2.5 % exposure latitude.


Proceedings of SPIE | 2011

EUV OPC for 56nm metal pitch

Martin Burkhardt; Matt Colburn; Yunfei Deng; Emily Gallagher; Hirokazu Kato; Greg McIntyre; Karen Petrillo; Sudhar Raghunathan; Adam C. Smith; Tom Wallow; Obert Wood; Yi Zou; Christian Zuniga

For the logic generations of the 15 nm node and beyond, the printing of pitches at 64nm and below are needed. For EUV lithography to replace ArF-based multi-exposure techniques, it is required to print these patterns in a single exposure process. The k1 factor is roughly 0.6 for 64nm pitch at an NA of 0.25, and k1 ≈ 0.52 for 56nm pitch. These k1 numbers are of the same order at which model based OPC was introduced in KrF and ArF lithography a decade or so earlier. While we have done earlier work that used model-based OPC for the 22nm node test devices using EUV,1 we used a simple threshold model without further resist model calibration. For 64 nm pitch at an NA of 0.25, the OPC becomes more important, and at 56nm pitch it becomes critical. For 15 nm node lithography, we resort to a full resist model calibration using tools that were adapted from conventional optical lithography. We use a straight shrink 22 nm test layout to assess post-OPC printability of a metal layer at pitches at 64 nm and 56 nm, and we use this information to correct test layouts.

Researchain Logo
Decentralizing Knowledge