Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroshi Tokue is active.

Publication


Featured researches published by Hiroshi Tokue.


Proceedings of SPIE | 2016

NIL defect performance toward high volume mass production

Masayuki Hatano; Kei Kobayashi; Hiroyuki Kashiwagi; Hiroshi Tokue; Takuya Kono; Nakasugi Tetsuro; Eun Hyuk Choi; Wooyung Jung

A low cost alternative lithographic technology is desired to meet with the decreasing feature size of semiconductor devices. Nanoimprint lithography (NIL) is one of the candidates for alternative lithographic technologies. NIL has advantages such as good resolution, critical dimension (CD) uniformity and smaller line edge roughness (LER). 4 On the other hand, NIL involves some risks. Defectivity is the most critical issue in NIL. The progress in the defect reduction on templates shows great improvement recently. In other words, the defect reduction of the NIIL process is a key to apply NIL to mass production. In this paper, we describe the evaluation results of the defect performance of NIL using an up-to-date tool, Canon FPA-1100 NZ2, and discuss the future potential of NIL in terms of defectivity. The impact of various kinds defects, such as the non-filling defect, plug defect, line collapse, and defects on replica templates are discussed. We found that non-fill defects under the resist pattern cause line collapse. It is important to prevent line collapse. From these analyses based on actual NIL defect data on long-run stability, we will show the way to reduce defects and the possibility of NIL in device high volume mass production. For the past one year, we have been are collaborating with SK Hynix to bring this promising technology into mainstream manufacturing. This work is the result of this collaboration.


Proceedings of SPIE | 2017

Study of nanoimprint lithography (NIL) for HVM of memory devices

Takuya Kono; Masayuki Hatano; Hiroshi Tokue; Kei Kobayashi; Masato Suzuki; Kazuya Fukuhara; Masafumi Asano; Tetsuro Nakasugi; Eun Hyuk Choi; Wooyung Jung

A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.


Novel Patterning Technologies 2018 | 2018

Material development for high-throughput nanoimprint lithography

Kei Kobayashi; Takayuki Nakamura; Hirokazu Kato; Masayuki Hatano; Hiroshi Tokue; Tetsuro Nakasugi; Eun Hyuk Choi; Wooyung Jung; Takuya Kono

Nanoimprint lithography (NIL) is a candidate of alternative lithographic technology for memory devices. We are developing NIL technology and challenging critical issues such as defectivity, overlay, and throughput . NIL material is a key factor to support the robust patterning process. Especially, resist material can play an important role in addressing the issue of the total throughput performance. The aim of this research is to clarify key factors of resist property which can reduce resist filling time and template separation time . The liquid resist is filled in the relief patterns on a quartz template surface and subsequently cured under UV radiation. The filling time is a bottleneck of NILthroughput. We have clarified that the air trapping in the liquid resist is critical. Based on theoretical study, we have identified key factors of NIL-resist property. These results have provided a deeper insight into resist material for high throughput NIL.


Novel Patterning Technologies 2018 | 2018

Improvement of nano-imprint lithography performance for device fabrication

Takuya Kono; Masayuki Hatano; Hiroshi Tokue; Kei Kobayashi; Hirokazu Kato; Masato Suzuki; Kazuya Fukuhara; Tetsuro Nakasugi; Eun Hyuk Choi; Wooyung Jung

A low cost alternative lithographic technology is desired to cope with the challenges in decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the viable candidates.[1][2][3] NIL has been a promising solution to overcome the cost issue associated with expensive process and tool of multi patterning and EUVL. NIL is a simple technology and is capable of forming critical patterns easily. On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the High Volume Manufacturing (HVM), it is necessary to overcome these three challenges simultaneously.[4]-[10] In our previous study, we have reported improvement in NIL overlay, defectivity and throughput by the optimization of resist process on a pilot line tool, FPA-1200 NZ2C. In this study, we report recent evaluation of the NIL performance to judge its applicability in semiconductor device HVM. We have described that the NIL is getting closer to the target of HVM for 2x nm half pitch.[8]Defectivity level below 1pcs/cm2 has been achieved for the 2x nm half pitch L/S. The overlay accuracy of the test device is being improved down to 6nm or lower by introducing high order distortion correction.


Archive | 2010

METHOD OF DESIGNING A TEMPLATE PATTERN, METHOD OF MANUFACTURING A TEMPLATE AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Ryoichi Inanami; Hiroshi Tokue; Ikuo Yoneda


Proceedings of SPIE | 2009

A study of filling process for UV nanoimprint lithography using a fluid simulation

Ikuo Yoneda; Yasutada Nakagawa; Shinji Mikami; Hiroshi Tokue; Takumi Ota; Takeshi Koshiba; Masamitsu Ito; Koji Hashimoto; Tetsuro Nakasugi; Tatsuhiko Higashiki


Archive | 2010

Imprint pattern forming method

Hiroshi Tokue; Ikuo Yoneda; Ryoichi Inanami


Archive | 2009

Imprinted pattern forming method

Ryoichi Inenami; Hiroshi Tokue; Ikuo Yoneda; 寛 徳江; 良市 稲浪; 郁男 米田


publisher | None

title

author


Archive | 2016

TEMPLATE, TEMPLATE FORMING METHOD, AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD

Hiroshi Tokue; Masayuki Hatano; Yohko Komatsu; Hiroyuki Kashiwagi; Masatoshi Tsuji

Collaboration


Dive into the Hiroshi Tokue's collaboration.

Researchain Logo
Decentralizing Knowledge