Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kathleen A. Gehoski is active.

Publication


Featured researches published by Kathleen A. Gehoski.


SPIE's 27th Annual International Symposium on Microlithography | 2002

High-resolution templates for step and flash imprint lithography

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Eric S. Ainley; Kathleen A. Gehoski; Jeffrey H. Baker; Todd C. Bailey; Byung Jin Choi; Stephen C. Johnson; S. V. Sreenivasan; John G. Ekerdt; C. Grant Willson

Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.


Emerging Lithographic Technologies VIII | 2004

Mesoscale modeling for SFIL simulating polymerization kinetics and densification

Ryan L. Burns; Stephen C. Johnson; Gerard M. Schmid; Eui K. Kim; Michael D. Dickey; Jason E. Meiring; Sean D. Burns; Nicholas A. Stacey; C. Grant Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles. This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.


Journal of Vacuum Science & Technology B | 2005

Effects of etch barrier densification on step and flash imprint lithography

Stephen C. Johnson; Ryan L. Burns; Eui Kyoon Kim; Michael D. Dickey; Gerard M. Schmid; Jason E. Meiring; Sean D. Burns; C. G. Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Previous work with the mechanical properties of step and flash imprint lithography etch barrier materials has shown bulk volumetric shrinkage trends that could impact imprinted feature dimensions and profile. This article uses mesoscopic and finite element modeling techniques to model the behavior of the etch barrier during polymerization. Model results are then compared to cross section images of template and etch barrier. Volumetric shrinkage is seen to impact imprinted feature profiles largely as a change in feature height.


Emerging Lithographic Technologies VII | 2003

Analysis of critical dimension uniformity for Step and Flash imprint lithography

David P. Mancini; Kathleen A. Gehoski; William J. Dauksher; Kevin J. Nordquist; Douglas J. Resnick; Philip Schumaker; Ian M. Mcmackin

Step and Flash Imprint Lithography (SFIL) is one of several new nano-imprint techniques being actively developed. While SFIL has been shown to be capable of sub-30 nm resolution, critical dimension (CD) control of imprinted features must be demonstrated if SFIL Is to become a viable and production worthy lithography technique. In the current study, a Molecular Imprints Imprio-100 system was used to imprint resolution patterns on 200 mm wafers. A characterization of critical dimension uniformity over the all-quartz template was done and compared to the same features printed on wafers. This analysis was performed for 100, 80, 50, and 30 nm features in three ways: over a single die using 64 sites arrayed across a 21 mm field, from field-to-field for 37 die across a single wafer, and from wafter-to-wafer for six wafers. Results show that CDs transfer from template to wafer with a slight positive bias which is greatest for 50 and 30 nm line sizes. Feature profiles studies. Despite this, the maximum calculated component of process variation from the SFIL process itself was calculated to be only 6 nm.


Emerging Lithographic Technologies VIII | 2004

Evaluation of the Imprio 100 Step and Flash Imprint Lithography tool

Kathleen A. Gehoski; David P. Mancini; Douglas J. Resnick

Step and Flash Imprint Lithography (S-FIL) is one of several new methods of imprint lithography being actively developed. As with other nanoimprint methods, S-FIL resolution appears to be limited only by template resolution, and offers a significant cost of ownership reduction when compared to other NGL methods such as EUVL and 157 nm lithography. Market segments capable of being addressed with S-FIL technology include nanodevice fabrication, compound semiconductors, photonic and optical devices, data storage, and advanced packaging. Successful implementation will require a commercial supplier of S-FIL tools, as well as an infrastructure that will support fabrication of the necessary 1X templates. The Imprio 100, manufactured by Molecular Imprints, Inc. is the first commercially available S-FIL tool. The purpose of this paper is to describe the performance and capabilities of the Imprio 100. Performance related to several tool parameters including layer-to-layer overlay, pre-aligner precision, residual layer thickness and uniformity, resolution, wafer throughput, and exposure lamp intensity uniformity was evaluated. Several spin-coatable organic materials were evaluated for their efficacy as transfer layers. Contact angle analysis of each material along with a comparison of the spread time and resulting residual layer, and overall resolution using each material was also done. This paper will present the results of both the factory and site acceptance tests, and will also cover the imprinting capability of the tool.


Workshop on Nanostructure Science, Metrology, and Technology | 2002

New methods for fabricating step and Flash Imprint Lithography templates

Douglas J. Resnick; Todd C. Bailey; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Eric S. Ainley; A. Talin; Kathleen A. Gehoski; Jeffrey H. Baker; Byung Jin Choi; Stephen C. Johnson; Matthew E. Colburn; Mario J. Meissl; S. V. Sreenivasan; John G. Ekerdt; C. Grant Willson

Step and Flash Imprint Lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative methods for defining features on an SFIL template. The first method used a much thinner (< 20 nm) layer of Cr as a hard mask. Thinner layers still suppress charging during e-beam exposure of the template, and have the advantage that CD losses encountered during the pattern transfer of the Cr are minimized. The second fabrication scheme addresses some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide on the glass substrate, charging is suppressed during inspection, and the UV characteristics of the final template are not affected. Templates have been fabricated using the two methods described above. Features as small as 30 nm have been resolved on the templates. Sub-80 nm features were resolved on the first test wafer printed.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Critical Dimension and Image Placement Issues for Step and Flash Imprint Lithography Templates

Kevin J. Nordquist; David P. Mancini; William J. Dauksher; Eric S. Ainley; Kathleen A. Gehoski; Douglas J. Resnick; Zorian S. Masnyj; Pawitter J. S. Mangat

Step and Flash Imprint Lithography (SFIL) is an attractive low-cost method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1X lithography technique, the template masks will require very good layer-to-layer overlay accuracy for multiple level device fabrication. To fabricate a transparent SFIL template, processing techniques familiar to existing binary phase shift mask fabrication are utilized. However, in order to fabricate the sub-100 nm features necessary for SFIL templates, thinner resist and chromium are necessary. Initial resolution tests have resulted in features sizes down to ~20 nm with the non-chemically amplified resist, ZEP520. Template to template overlay of <15 nm (mean + 3σ) can be achieved if the template fabrication procedure consists of a single 1” template exposed in the center of a 6” × 6” × 0.25” quartz blank.


Emerging Lithographic Technologies VIII | 2004

Sub-80-nm contact hole patterning using Step and Flash Imprint Lithography

David P. Mancini; Ngoc V. Le; Kathleen A. Gehoski; Steven R. Young; William J. Dauksher; Kevin J. Nordquist; Douglas J. Resnick

Recently, the International Roadmap for Semiconductors (ITRS) has included imprint lithography on its roadmap, to be ready for production use in 2013 at the 32 nm node. Step and Flash Imprint Lithography (S-FILTM) is one of the promising new methods of imprint lithography being actively developed. Since S-FIL is a 1X printing technique, fabrication of templates is especially critical. S-FIL has previously demonstrated the ability to reliably print high resolution line/space and contact hole features into a silicon-rich etch barrier material. Beyond printing with S-FIL however, there is the requirement to develop low or zero bias, high selectivity dry etch processes needed to transfer printed images into the substrate. In this study, the feasibility and methodology of imprinting sub-80 nm contacts, and pattern transferring this image into an underlying oxide layer is demonstrated. Critical parameters such as e-beam dose and etch biases associated with template pillar fabrication, and biases associated with pattern transfer processes for sub-80 nm 1:1 and 1:2 pitch contacts are discussed. Wafer imprinting was done on 200 mm wafers using Molecular Imprints Inc., Imprio 100TM system.


Emerging Lithographic Technologies IX | 2005

Indium tin oxide template development for step and flash imprint lithography

Kathleen A. Gehoski; Douglas J. Resnick; William J. Dauksher; Kevin J. Nordquist; Eric S. Ainley; Mark A. McCord; Mark Raphaelian; Harald F. Hess

Step and Flash Imprint Lithography (S-FIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes S-FIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. Previous work on S-FIL templates has focused on a chromium and quartz pattern transfer process that is compatible with processes that are currently used in mask shops. It is likely that 1X templates will require electron beam inspection, however, and templates that include buried charge conduction layers may be required. The purpose of this work was to investigate the issues associated with fabricating and inspecting these types of templates. The patterning stack examined included a layer of ZEP520A positive electron beam resist, followed by thin layers of chromium, silicon oxynitride, and indium tin oxide. The chromium layer was needed to avoid laser height sensor problems encountered prior to electron beam exposure. The pattern transfer process was characterized, and CD uniformity was characterized in four quadrants of the photoplate. A prototype electron beam inspection system was then used to inspect an array of programmed defect patterns. Two methods for fabricating templates were considered.


21st Annual BACUS Symposium on Photomask Technology | 2002

Low surface energy polymeric release coating for improved contact print lithography

David P. Mancini; Douglas J. Resnick; Kathleen A. Gehoski; Laura Popovich; Daniel Chang

Contact printing has been used for decades in many various lithography applications in the microelectronic industry. While vacuum contact printing processes offer sub-micron resolution and high throughput, they often suffer from some important drawbacks. One of the most common problems is degradation in both resolution and defect density which occurs when the same mask si used for multiple exposures without frequent mask cleans. This is largely due to the relatively high surface energy of both quartz and chrome and the tendency of most photoresists to adhere to these surfaces. As a result, when a mask and wafer are pressed into intimate contact, resist will tend to stick to the mask creating a defect on the wafer, effectively propagating defects to subsequent wafers. In this study, DuPont Teflon AF 1601S is used as a photomask coating and evaluated for its ability to act as a release agent and reduce defects while maintaining resolution for multiple exposures. Teflon AF is an amorphous, transparent, low surface energy, polymeric material that can be spin coated into a thin conformal film. Tests have shown that when using an uncoated mask in vacuum contact, resolution of 0.75 micrometers dense lines is severely degraded after less than 10 consecutive exposures. However, when the mask is coated, 0.75 micrometers dense lines were successfully resolved using vacuum contact for over 200 exposures without cleaning. In addition, it has been demonstrated that Teflon AF coatings impart to a mask a self-cleaning capability, since particles tend to stick to the photoresist rather than the mask. A coated mask, which was purposefully contaminated with particulates, resolved 0.75 micrometers dense lines on all but the first wafer of a series of 25 consecutive exposures. The patented mask releases layer process has successfully been demonstrated with a positive novolak resist. Additional data which describes the system chemistry, dilution and coating process, and film morphology are also presented.

Collaboration


Dive into the Kathleen A. Gehoski's collaboration.

Top Co-Authors

Avatar

Stephen C. Johnson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Gerard M. Schmid

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge