Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kathy A. Gehoski is active.

Publication


Featured researches published by Kathy A. Gehoski.


Microelectronic Engineering | 2002

Template fabrication schemes for step and flash imprint lithography

Todd C. Bailey; Douglas J. Resnick; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Eric S. Ainley; A. Talin; Kathy A. Gehoski; Jeffrey H. Baker; Byung Jin Choi; Stephen C. Johnson; Matthew E. Colburn; Mario J. Meissl; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Abstract Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative processes for defining features on an SFIL template. The first method considered using a much thinner (


Journal of Vacuum Science & Technology B | 2002

Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates

David P. Mancini; Kathy A. Gehoski; Eric S. Ainley; Kevin J. Nordquist; Douglas J. Resnick; Todd C. Bailey; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

The feasibility of using hydrogen silsesquioxane (HSQ) to directly pattern the relief layer of step and flash imprint lithography (SFIL) templates has been successfully demonstrated. HSQ is a spin-coatable oxide, which is capable of high resolution electron-beam lithography. Negative acting and nonchemically amplified, HSQ has moderate electron-beam sensitivity and excellent processing latitude. In this novel approach, 6 ×6 × 0.25 in.3 quartz photomask substrates are coated with a 60 nm indium tin oxide (ITO) charge dissipation layer and directly electron-beam written using a 100 nm film of HSQ. Direct patterning of an oxide relief layer eliminates the problems of critical dimension control associated with both chromium and oxide etches, both required processes of previous template fabrication schemes. Resolution of isolated and semidense lines of 30 nm has been demonstrated on imprinted wafers using this type of template. During this evaluation, a failure of the release layer to provide a durable nonstic...


Journal of Vacuum Science & Technology B | 2004

Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography

Gregory Frank Cardinale; J. L. Skinner; A. Alec Talin; R. W. Brocato; D. W. Palmer; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; Ngoc V. Le; Kevin J. Nordquist; Douglas J. Resnick

We report the surface acoustic wave (SAW) correlator devices fabricated using nanoimprint lithography. Using step-and-flash imprint lithography (S-FIL), we produced SAW correlator devices on 100mm diameter z-cut LiNbO3 devices and an aluminum metal etch process. On the same chip layout, we fabricated SAW filters and compared both the filters and correlators to similar devices fabricated using electron-beam lithography (EBL). Both S-FIL- and EBL-patterned correlators and SAW filters were analyzed using a bit-error rate tester to generate the signal and a parametric signal analyzer to evaluate the output. The NIL filters had an average center frequency of 2.38GHz with a standard deviation of 10MHz. The measured insertion loss averaged −31dB. In comparison, SAW filters fabricated using EBL exhibited a center frequency of 2.39GHz and a standard deviation of 100kHz. Based on our preliminary results, we believe that S-FIL is an efficient and entirely viable fabrication method to produce quality SAW filters and ...


Journal of Vacuum Science & Technology B | 2004

Image placement issues for ITO-based step and flash imprint lithography templates

Kevin J. Nordquist; Eric S. Ainley; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; J. H. Baker; Douglas J. Resnick; Z. Masnyj; Pawitter J. S. Mangat

Step and flash imprint lithography (SFIL) is an attractive, low-cost method for printing sub-100 nm geometries. The imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors. Since SFIL is a 1× lithography technology, the template will require precise image placement in order to meet overlay specifications for multiple level device fabrication. In order to simplify the template fabrication process and facilitate post fabrication scanning-electron-microscope-based inspection, an integrated charge dissipation layer, such as indium tin oxide (ITO), is desired that is transparent to the SFIL exposure wavelength. The use of low-stress dielectric films such as SiON for the image relief layer minimizes the pattern distortions (<9 nm, mean+3σ) that occur after the pattern transfer process. Although ITO uniformity was also significantly improved by switching the ITO deposition process to an MRC sputter deposition system, image placement results were adv...


Microelectronic Engineering | 2003

Fabrication of multi-tiered structures on step and flash imprint lithography templates

Stephen C. Johnson; Douglas J. Resnick; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Kathy A. Gehoski; Jeffrey H. Baker; L. Dues; A. Hooper; Todd C. Bailey; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Step and flash imprint lithography (SFIL) replicates patterns by using a transparent template with relief images etched into its surface. Recent work has examined alternative methods for template fabrication. One scheme incorporates a conductive and transparent layer of indium tin oxide (ITO) on the surface of the substrate. Features are defined on the templates by patterning a thin layer of PECVD oxide that is deposited on the ITO layer. A second method bypasses the oxide etch process by imaging a thin layer of hydrogen silsesquioxane (HSQ). By combining or iterating the two methods, it is possible to form multi-tiere, structures on a template. Two and three tier structures were fabricated on silicon wafers and templates. A two layer structure was fabricated on a quartz photoplate by patterning PECVD oxide and subsequently patterning a second tier using HSQ. The resulting relief structures were successfully replicated on wafers using SFIL.


Journal of Micro-nanolithography Mems and Moems | 2002

High resolution templates for step and flash imprint lithography

Douglas J. Resnick; William J. Dauksher; David P. Mancini; Kevin J. Nordquist; Eric S. Ainley; Kathy A. Gehoski; Jeffrey H. Baker; Todd C. Bailey; Byung Jin Choi; Stephen C. Johnson; S. V. Sreenivasan; John G. Ekerdt; C. G. Willson

Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, minimizing magnification and distortion errors. The purpose of this work was to investigate alternative methods for defining high resolution SFIL templates and study the limits of the SFIL process. Two methods for fabricating templates were considered. The first method used a very thin (<20 nm) layer of Cr as a hard mask. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, scanning electron microscopy (SEM) and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide on the glass substrate, charging is suppressed during SEM inspection, and the transparent nature of the final template is not affected. Using ZEP-520 as the electron beam imaging resist, features as small as 20 nm were resolved on the templates. Features were also successfully imprinted using both types of templates.


Journal of Vacuum Science & Technology B | 2004

Repair of step and flash imprint lithography templates

William J. Dauksher; Kevin J. Nordquist; Ngoc V. Le; Kathy A. Gehoski; David P. Mancini; Douglas J. Resnick; L. Casoose; R. Bozak; R. White; J. Csuy; D. Lee

In order for step and flash imprint lithography (S-FIL) to become a truly viable manufacturing technology, infrastructure including template repair must be commercially available. Extensive template repair studies were undertaken using RAVE’s nm 650 tool which is predicated on an AFM platform and relies upon a nanomachining technique for opaque defect removal. On S-FIL templates, the standard deviation for depth repairs in quartz from the target depth was found to be 3.1nm (1σ). At 21.5nm (1σ), the analogous spread in edge placement data for opaque line protrusions was somewhat higher. Trench cuts through lines were successfully created with a minimum size of about 55nm. The effectiveness of the repairs on the template was verified by imprinting experiments. The range of depth offsets studied (−15to+15nm) had no bearing on the imprinting process. The edge placement on wafers virtually mirrored the edge placement of the repaired templates. Connections between features which were created by trench cuts on t...


Proceedings of SPIE | 2007

An electrical defectivity characterization of wafers imprinted with step and flash imprint lithography

William J. Dauksher; Ngoc V. Le; Kathy A. Gehoski; Eric S. Ainley; Kevin J. Nordquist; N. Joshi

For the first time, electrically testable snake and comb structures were used to quantitatively characterize the defectivity associated with imprint lithography, specifically with Step and Flash Imprint Lithography. Whereas the overall yield for quarter micron optically-patterned snakes was found to be approximately 95%, the corresponding value for imprinted snakes was about 84%. The yield of imprinted snakes was found to fall rapidly with decreasing feature size. For example, the yield of 1:5 50 nm short snakes was only about 55%. Complementary optical inspection suggested feature pullout (release agent failure and mechanical layer separation) was a prevailing occurrence. Qualitatively, defects were binned into four primary, broad categories: self-cleaning template defects; non self-cleaning template defects; imprint-impeding defects; and template damaging defects. Additionally, the template cleaning process employed was found to be fairly efficient at removing particles, particularly when considering defects at the larger feature sizes. There is no doubt that the control of defectivity will be the next large hurdle that will challenge imprint lithography as it strives to make inroads in manufacturing arenas. Finally, a future study is planned with improved etch barrier and transfer layers.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Imprint technology: A potential low-cost solution for sub-45nm device applications

Ngoc V. Le; William J. Dauksher; Kathy A. Gehoski; Kevin J. Nordquist; Eric S. Ainley; Pawitter J. S. Mangat

Nano-imprint technology has demonstrated the potential for a low-cost, high-throughput Next Generation Lithography (NGL) method extendable to ultra-fine geometry requirements. Although the development of nano-imprinting lithography has been focused on semiconductor applications, the technology could provide a pathway for non-semiconductor-related applications as well. Examples of technologies that may benefit from this nano-imprint are high-density drives and other stand-alone memories, organic and flexible electronics, photonics, nanoelectronics, biotechnology, etc. With the rapid advances in these industries, the need for sub-nanometer features to drive performance and innovation, while maintaining cost, is to be expected. Step and Flash Imprint Lithography (S-FILTM) is one of several cost-effective imprinting technologies being pursued for sub-100 nm resolution. In demonstrating successful final pattern transfer of features less than 45 nm, S-FIL has sparked some interest as a viable alternative to other NGL methods. Unlike optical-based lithography, imprint utilizes the basic concept of contact printing, and therefore, does not require expensive optics and complex resist material to create images. Thus, the cost of ownership for nano-imprint lithography compared with other optical-based NLGs could provide solutions for many applications. Improvements made in S-FIL in the areas of material dispensing and refinement of the etch barrier (EB) have resulted in more uniform printing while producing a thinner residual layer. These improvements, coupled with changes to the etch processes have enabled pattern transfer with minimal critical dimension (CD) loss. This paper will describe both the new imprinting results and pattern transfer to demonstrate sub-45nm features. CD bias at each of the process steps will also be discussed. Examples of sub-45 nm (1:3) line/space features post imprint and final pattern transfer into oxide will be shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Evaluation of FIB and e-beam repairs for implementation on step and flash imprint lithography templates

Steven R. Young; William J. Dauksher; Kevin J. Nordquist; Eric S. Ainley; Kathy A. Gehoski; A. A. Graupera; M. H. Moriarty

In order for Step and Flash Imprint Lithography S-FIL or any other imprint lithography to become truly viable for manufacturing, certain elements of the infrastructure must be present. In particular, these elements include; fast and precise Electron Beam (E-beam) pattern writing, ability to inspect, and a methodology to repair. The focus of this paper will be to investigate repair of clear and opaque defects on S-FIL templates using Focused Ion Beam (FIB) and Electron beam technologies. During this study, FEIs Accura XT FIB mask repair system was used to selectively mill opaque line edge defects as small as 45 nm in the Cr-based and 30 nm in the quartz-based patterns. Repairs to the Cr pattern achieved a placement offset of 8.8 nm with a one sigma value of 11.4 nm. Additionally, a series of trench cuts were made perpendicular through line segments to determine the minimum cut resolution. In an effort to repair clear defects within chrome patterns, studies were performed to deposit carbon or a proprietary metallization using either FEIs FIB platform or E-beam mask repair research tool. This paper will discuss the repair strategy used and include characterization of repairs through Scanning Electronic Microscopy (SEM) and Atomic Force Microscopy (AFM) imaging. Furthermore, repair efficiency was determined by assessing the ability of the repair to hold up through the remainder of the template fabrication process and ultimately pattern transfer of imprinted features.

Collaboration


Dive into the Kathy A. Gehoski's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

S. V. Sreenivasan

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. G. Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

John G. Ekerdt

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge