Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kazunori Seki is active.

Publication


Featured researches published by Kazunori Seki.


Photomask and Next-Generation Lithography Mask Technology XX | 2013

Using pattern shift to avoid blank defects during EUVL mask fabrication

Yoshiyuki Negishi; Yuki Fujita; Kazunori Seki; Toshio Konishi; Jed H. Rankin; Steven C. Nash; Emily Gallagher; Alfred Wagner; Peter Thwaite; Ahmad Elayat

Extreme Ultraviolet Lithography (EUVL) is the leading candidate for next generation lithography. EUVL has good resolution because of the shorter wavelength (13.5nm). EUVL also requires a new and complicating mask structure. The blank complexity and substrate polishing requirements result in defects that are difficult to eliminate or repair. Due to these challenges, shifting the pattern so that absorber covers the multilayer defects is one option for mitigating the multilayer defect problem. We investigated the capability and effectiveness of pattern shifting using authentic layouts. The rough indication of, “how many of what size defects are allowable”, is shown in this paper based on the margin for the 11nm HP pattern. Only the twenty 300nm-sized defects are allowable for current location accuracy of the blank inspection and writing tools. On the other hand, sixty70nm-sized defects are allowable for the improved location inaccuracy. Furthermore we exercised the full process for pattern shift using a leading-edge 50 keV e-beam writer to confirm feasibility and it was successfully performed.


SPIE Photomask Technology | 2011

High resolution mask process and substrate for 20nm and early 14nm node lithography

Tom Faure; Satoshi Akutagawa; Karen D. Badger; Louis Kindt; Jun Kotani; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Shinich Igarashi; Yukio Inazuki; Kazuhiro Nishikawa; Hiroki Yoshikawa

The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition, the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of their negative tone chemically amplified resist (NCAR) process. In this paper we will describe the development and characterization of a high resolution bright field mask process that is suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch performance of different NCAR resist thicknesses will also be described. It was found that the combination of the improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided. Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond

Tom Faure; Karen D. Badger; Louis Kindt; Yutaka Kodera; Toru Komizo; Shinpei Kondo; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Amy E. Zweber; Kazuhiro Nishikawa; Yukio Inazuki; Hiroki Yoshikawa

The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks. The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging performance of critical mask patterns by degrading the common lithography process window and by magnifying the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate. For expediency, the thin absorber development effort focused on using existing absorber materials and deposition methods. It was found that significant changes in film composition and structure were needed to obtain a substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous studies to assess the mask making performance of the thinner absorber material were required and will be described. During these studies several significant mask making advantages of the thin absorber were discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to corner gap. Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use for fabricating masks for the 22 nm node and beyond.


Photomask and Next-Generation Lithography Mask Technology XIX | 2012

Shedding light on EUV mask inspection

Kazunori Seki; Karen D. Badger; Emily Gallagher; Toshio Konishi; Gregory McIntyre

EUV defect detectability is evaluated both through simulation and by conventional mask inspection tools at various wavelengths (13.5, 193, 257, 365, 488 and 532 nm). The simulations reveal that longer wavelength light penetrates deeper into the multilayer than shorter wavelength light, however this additional penetration does not necessarily provide an advantage over shorter wavelengths for detecting defects. Interestingly, for both blank and patterned mask inspections, each wavelength detected unique defects not seen at other wavelengths. In addition, it was confirmed that some of the defects that are detected only by longer wavelengths are printable. This study suggests that a combination of wavelengths may be the most comprehensive approach to finding printable defects as long as actinic inspection is not available.


Photomask Technology 2011 | 2011

The impact of a thinner binary mask absorber on 22nm and beyond mask inspectability and defect sensitivity

Karen D. Badger; Kazunori Seki

As part of 20 nm/22 nm process development, an evaluation was performed to determined the impact of Thin OMOG on mask inspection. Despite significant improvements in mask inspectability and reduced database modeling errors, thin OMOG demonstrated lower defect sensitivity as compared to Standard OMOG at the same inspection conditions (calibration, sensitivity). Stack height aside, the primary difference between standard and thin OMOG is attenuator reflectivity. It is surmised that the reduction in sensitivity is due to a lower reflected light contrast on thin-OMOG. This characteristic was noted for both 257 nm and 193 nm inspection wavelengths. In addition to the reduction in defect sensitivity, an unexpected phase interference was noted at the image edge with a 193 nm inspection wavelength, for Standard OMOG, but not for Thin OMOG. This interference, or undershoot is due in part to the low difference in reflectivity and phase between the quartz and the attenuator on the Standard OMOG substrate. This difference is more than five times greater for the Thin OMOG attenuator. The primary focus of this paper is on the characterization of thin OMOG relative to the interaction between attenuator reflectivity, image quality, database modeling and tool calibrations as they relate to mask inspectability and defect sensitivity. This paper will also address the changes required to compensate for the loss of sensitivity induced by the introduction of the thin OMOG absorber.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Defect inspection and repair performance comparisons between EUV and conventional masks

Kazunori Seki; Masafumi Shibita; Takashi Yoshii; Tsukasa Fujimoto; Yo Sakata; Shinji Akima

Two types of blanks, EUV A and EUV B, are the leading EUV blanks contenders. They are evaluated and compared with OMOG blank for their suitability as a photomask blanks. For defect inspection evaluation, contrast for pattern image and sensitivity for detection were evaluated using the newly developed inspection tools. With these tools, it is learnt that the sensitivity varies according to a set of conditions. For repair performance evaluations, EUV mask was assessed through E-beam repair tools, those that are most widely used. The results on both types of masks demonstrate good repair shape that is almost same quality as repair on OMOG mask. Moreover, under the two types of repair conditions used in this study, no degradation on pattern was found for the optimized condition as result of repair work.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

The study of defect detection method for 32nm technology node and beyond

Kazunori Seki; Masafumi Shibita; Shinji Akima

As a result of demand for ever decreasing of feature sizes in photomasks, inspection has become more important as a key element of manufacturing 32nm node and beyond. In order to provide a better solution for it, we have developed a new method to create programmed defects having attributes very similar to those seen in natural defects. We have named the new method as NLPD (Natural-Like Programmed Defect). One of the noteworthy features of NLPD is that it is possible to make reticles with different heights which have never been made by conventional method. Natural like defects are desirable for new inspection modes including ones using shorter wavelength and aerial plane inspection technology. These new inspection modes are equipped with recently released inspection tools. They are expected to meet the requirements which are needed by future advanced masks, and these requirements have been inadequately fulfilled with inspection tools for current generation masks. Those requirements include responding to currently dominant reticle types for 32nm node: CoG: 6% EPSM: and OMOG (Opaque MoSi On Glass). Other possible reticle types for 32nm node contain EUV, Enhancer, complex tri-tone, high transmission, and CPL. In the future, aggressive model based OPC (Optical Proximity Correction) will be typically used which include jogs, serifs, and SRAF (Sub-Resolution Assist Features) accompanying extremely small gaps between adjacent structures. When those advanced technologies are adopted, NLPD definitely contributes to making inspection more efficient and effective as evaluation method dealing with advanced inspection tools. This paper provides NLPD results with comparison of newly released inspection tools equipped with new inspection modes. The new inspection modes include hi-resolution inspection and aerial inspection which are designed to fulfill the requirements of inspection for advanced masks. These results confirm that hi-resolution inspection is suited for process development or improvement and aerial inspection is good for the volume production.


Photomask and Next-Generation Lithography Mask Technology XX | 2013

Evaluation of non-actinic EUV mask inspection and defect printability on multiple EUV mask absorbers

Karen D. Badger; Emily Gallagher; Kazunori Seki; Gregory McIntyre; Toshio Konishi; Yutaka Kodera; Vincent Redding

EUV wavelength inspection tools are several years away from product release. Until then, the EUV Lithography (EUVL) community faces the challenge of inspecting EUV masks at non-actinic wavelengths. It is critical to understand how to improve mask inspectability and defect sensitivity. The absorber stack is one contributor, since changing the film stack modifies image contrast. To study the effect, masks were fabricated from three different film stacks on which the thickness of the low reflective and absorber layers vary. These three absorbers are identified in this paper as Type A, Type B and Type C. All blanks had the same Ru-capped multi-layer substrate beneath the absorber stack. Inspection contrast, defect sensitivity and inspectability were measured on a 193nm wavelength inspection tool. The focus of this paper will be on inspection at the 193nm wavelength; however, simulated wafer results at the 13.5 nm EUV exposure wavelength will be included to anchor the relevance of the mask inspection results. A comparison of the different absorber stacks, the ability to detect defects on the various masks, and how defects on these substrates prints on wafer will be provided. This work addresses the gap between EUVL mask inspection and wafer defect printability and how the two views differ relative to various absorber stacks.


SPIE Photomask Technology | 2011

Evaluation of the accuracy of complex illuminator designs

Michael S. Hibbs; Jaione Tirapu-Azpiroz; Kazunori Seki; Gregory McIntyre; Shinpei Kondo

Complex illuminators used for optical lithography or lithographic simulators typically have a slight loss of fidelity when compared to the original illuminator design. It is usually not obvious what the lithographic effects of this loss of fidelity will be. A series of computer-designed illuminators with multiple intensity levels was designed and built for use in an Aerial Image Measurement System1,2 (AIMSTM)+. Images of the various illuminators were recorded and correlated with the original designs. Images of photomasks with programmed defects were captured using these illuminators and the results were compared with simulations using the physical illumination pattern and the ideal illumination design. The results showed that small deviations between the illuminator design and the physically constructed illuminator had very little effect on the aerial images or defect sensitivity. Larger deviations from the illuminator design have increasingly significant effects on defect sensitivity.


Journal of Micro-nanolithography Mems and Moems | 2013

Illuminating extreme ultraviolet lithography mask defect printability

Karen D. Badger; Zhengqing J. Qi; Emily Gallagher; Kazunori Seki; Gregory McIntyre

Collaboration


Dive into the Kazunori Seki's collaboration.

Researchain Logo
Decentralizing Knowledge