Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kazuo Tawarayama is active.

Publication


Featured researches published by Kazuo Tawarayama.


Japanese Journal of Applied Physics | 2008

Extreme Ultraviolet Lithography Using Small-Field Exposure Tool: Current Status

Kazuo Tawarayama; Shunko Magoshi; Yuusuke Tanaka; Seiichiro Shirai; Hiroyuki Tanaka

The small-field exposure tool (SFET) for extreme ultraviolet (EUV) lithography was manufactured by Canon and EUVA and installed in Selete. It is being used for developing mask, resist, and tool technologies. In this paper, we review the current status of SFET development and present some initial results on lithographic performance and tool stability.


Applied Optics | 2000

Aberration measurement from specific photolithographic images: a different approach

Hiroshi Nomura; Kazuo Tawarayama; Takuya Kohno

Techniques for measurement of higher-order aberrations of a projection optical system in photolithographic exposure tools have been established. Even-type and odd-type aberrations are independently obtained from printed grating patterns on a wafer by three-beam interference under highly coherent illumination. Even-type aberrations, i.e., spherical aberration and astigmatism, are derived from the best focus positions of vertical, horizontal, and oblique grating patterns by an optical microscope. Odd-type aberrations, i.e., coma and three-foil, are obtained by detection of relative shifts of a fine grating pattern to a large pattern by an overlay inspection tool. Quantitative diagnosis of lens aberrations with a krypton fluoride (KrF) excimer laser scanner is demonstrated.


Journal of Micro-nanolithography Mems and Moems | 2011

Light-shield border impact on the printability of extreme-ultraviolet mask

Takashi Kamo; Kazuo Tawarayama; Yuusuke Tanaka; Yukiyasu Arisawa; Hajime Aoyama; Toshihiko Tanaka; Osamu Suga

When a thinner absorber mask is applied to extreme ultraviolet (EUV) lithography for chip production, it becomes essential to a introduce light-shield border in order to suppress the leakage of EUV light from the adjacent exposure shots. In this paper, we evaluate the leakage of both EUV and out-of-band from light-shield border and clarify the dependence of lithographic performance on light-shield border structure using a small field exposure tool with/without spectral purify filter (SPF). Then we evaluate the lithographic performance of a thin absorber EUV mask with light-shield border of the etched multilayer type and demonstrate the merit of its structure using a full-field scanner operating under the currently employed condition of EUV source in which SPF is not installed.


Proceedings of SPIE | 2009

Recent progress of EUV full-field exposure tool in Selete

Kazuo Tawarayama; Hajime Aoyama; Shunko Magoshi; Yuusuke Tanaka; Seiichiro Shirai; Hiroyuki Tanaka

The Selete full-field EUV exposure tool, the EUV1, was manufactured by Nikon and is being set up at Selete. Its lithographic performance was evaluated in exposure experiments with a static slit using line-&-space (L&S) patterns, Selete Standard Resist 03 (SSR3), an NA of 0.25, and conventional illumination (σ = 0.8). The results showed that 25- nm L&S patterns were resolved. Dynamic exposure experiments showed the resolution to be 45 nm across the exposure field and the CD uniformity across a shot to be 3 nm, also 26-nm L&S patterns were resolved. Overlay performance of the EUV1 was showed as processed wafer mark alignment, the repeatability was under 1nm. Overlay accuracy using EGA (Enhanced Global Alignment) was below 4nm at the 3-sigma after liner correction. These results were good enough for an alpha-level lithography tool and test site verification.


Proceedings of SPIE | 2008

Effects of aberration and flare on lithographic performance of SFET

Yuusuke Tanaka; Hajime Aoyama; Kazuo Tawarayama; Shunko Magoshi; Seiichiro Shirai; Hiroyuki Tanaka

The effects of aberration and flare on the lithographic performance of the EUV small-field exposure tool (SFET) were evaluated. Simulation results indicated that the effect of aberration on the image contrast of line-and-space (L&S) patterns should be small. In exposure experiments, 26-45-nm L&S patterns were successfully fabricated under annular illumination (σ=0.3/0.7). A key factor limiting resolution should be resist performance. Simulation results also indicated that the astigmatic aberration could produce a focal shift of about 60 nm between horizontal and vertical L&S patterns. The experimentally obtained focus shift agreed well with the simulation results. Dense 32-45-nm contact-hole (C/H) patterns were also successfully fabricated under annular illumination (σ=0.3/0.5). Due to astigmatic aberration, the C/H patterns were deformed at defocused positions, but they were almost circular at the best focus position. The flare of the projection optics measured by the Kirk method was 11% over a flare range of 1-100 μm. The effects of the 11% flare were evaluated using dark- and bright-field 32-nm L&S patterns. It was found that the top loss and line-width roughness (LWR) of the resist were larger for bright-field than for dark-field patterns. To reduce the impact of flare, we need EUV resists that are more robust with regard to flare. A comparison of the measured point spread function (PSF) of the flare and the calculated PSF revealed good agreement for long-range flare but some difference for short-range flare.


Proceedings of SPIE | 2011

Evaluation of EUV mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting killer defects both at the main pattern and at light-shield border area.


Proceedings of SPIE | 2010

Applicability of extreme ultraviolet lithography to fabrication of half pitch 35nm interconnects

Hajime Aoyama; Yuusuke Tanaka; Kazuo Tawarayama; Naofumi Nakamura; Eiichi Soda; Noriaki Oda; Yukiyasu Arisawa; Taiga Uno; Takashi Kamo; Kentaro Matsunaga; Daisuke Kawamura; Toshihiko Tanaka; Hiroyuki Tanaka; Shuichi Saito; Ichiro Mori

Extreme ultraviolet lithography (EUVL) is moving into the phase of the evaluation of integration for device fabrication. This paper describes its applicability to the fabrication of back-end-of-line (BEOL) test chips with a feature size of hp 35 nm, which corresponds to the 19-nm logic node. The chips were used to evaluate two-level dual damascene interconnects made with low-k film and Cu. The key factors needed for successful fabrication are a durable multi-stack resist process, accurate critical dimension (CD) control, and usable overlay accuracy for the lithography process. A multi-stack resist process employing 70-nm-thick resist and 25-nm-thick SOG was used on the Metal-1 (M1) and Metal- 2 (M2) layers. The resist thickness for the Via-1 (V1) layer was 80 nm. To obtain an accurate CD, we employed rulebased corrections involving mask CD bias to compensate for flare variation, mask shadowing effects, and optical proximity effects. With these corrections, the CD variation for various 35-nm trench and via patterns was about ± 1 nm. The total overlay accuracy (|mean| ± 3σ) for V1 to M1 and M2 to V1 was below 12 nm. Electrical tests indicate that the uses of Ru barrier metal and scalable porous silica are keys to obtaining operational devices. The evaluation of a BEOL test chip revealed that EUVL is applicable to the fabrication of hp-35-nm interconnects and that device development can be accelerated.


Proceedings of SPIE | 2011

Resolution capability of SFET with slit and dipole illumination

Yuusuke Tanaka; Kentaro Matsunaga; Shunko Magoshi; Seiichiro Shirai; Kazuo Tawarayama; Hiroyuki Tanaka

A high-resolution EUV exposure tool is needed to facilitate the development of EUV resists and masks. Since the EUV small-field exposure tool (SFET) has a high numerical aperture (NA = 0.3), low aberration & flare, and excellent stage stability, it should be able to resolve fine L/S patterns for the half-pitch 22-nm & 16-nm nodes. In this study, we evaluated the resolution capability of the SFET and obtained 22-nm L/S patterns with x-slit illumination and clear modulation of 16-nm L/S patterns with x-dipole illumination. The resolution limit of the SFET seems to be about 15 nm. The main cause of pattern degradation in 16-nm L/S is probably resist blur. To obtain good shapes for this pattern size, the resist blur of less than 3.5 nm (σ) is required. The use of y-slit illumination was found to reduce the linewidth roughness (LWR) of resist patterns. Further reduction of the LWR requires a higher image contrast and a smaller flare. Due to the central obscuration, the image contrast of the SFET is sensitive to the change of pupil fill. The degradation in the collector & DMT should be reduced to ensure stable aerial images. This work was supported in part by NEDO.


Journal of Micro-nanolithography Mems and Moems | 2011

Evaluation of extreme ultraviolet mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before extreme ultraviolet lithography is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. We have been developing extreme ultraviolet (EUV) mask infrastructures such as a full-field actinic blank inspection tool and 199 nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199 nm wavelength patterned mask inspection tool, and electron beam (EB) wafer inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection are effective in detecting killer defects both at the main pattern and at the light-shield border area.


Japanese Journal of Applied Physics | 2009

Lithographic Performance of Extreme Ultravolet Full-Field Exposure Tool at Selete

Kazuo Tawarayama; Hajime Aoyama; Takashi Kamo; Shunko Magoshi; Yuusuke Tanaka; Seiichiro Shirai; Hiroyuki Tanaka

The Selete full-field etreme ultraviolet (EUV) exposure tool, the EUV1, was manufactured by Nikon and is being developed at Selete. Its lithographic performance was evaluated in exposure experiments with a static slit using line and space (L&S) patterns, Selete Standard Resist 03 (SSR3), a numerical aperture (NA) of 0.25, and conventional illumination (σ= 0.8). The results showed that 25 nm L&S patterns were resolved. Dynamic exposure experiments showed the resolution to be 45 nm across the exposure field and the critical dimension (CD) uniformity across a shot to be 7 nm, which is sufficient for an alpha-level lithography tool.

Collaboration


Dive into the Kazuo Tawarayama's collaboration.

Top Co-Authors

Avatar

Hiroyuki Tanaka

Osaka Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Ichiro Mori

University of Tokushima

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge