Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kenji Ohtoshi is active.

Publication


Featured researches published by Kenji Ohtoshi.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond

Hidekazu Takekoshi; Takahito Nakayama; Kenichi Saito; Hiroyoshi Ando; Hideo Inoue; Noriaki Nakayamada; Takashi Kamikubo; Rieko Nishimura; Yoshinori Kojima; Jun Yashima; Akihito Anpo; Seiichi Nakazawa; Tomohiro Iijima; Kenji Ohtoshi; Hirohito Anze; Victor Katsap; Steven D. Golladay; Rodney A. Kendall

EBM-9000 equipped with new features such as new electron optics, high current density (800A/cm2) and high speed deflection control has been developed for the 11nm technology node(tn) (half pitch (hp) 16nm). Also in parallel of aggressive introduction of new technologies, EBM-9000 inherits the 50kV variable shaped electron beam / vector scan architecture, continuous stage motion and VSB-12 data format handling from the preceding EBM series to maintain high reliability accepted by many customers. This paper will report our technical challenges and results obtained through the development.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Electron Beam Mask Writer EBM-7000 for Hp 32nm Generation

Takashi Kamikubo; Kenji Ohtoshi; Noriaki Nakayamada; Rieko Nishimura; Hitoshi Sunaoshi; Kiminobu Akeno; Soichiro Mitsui; Yuichi Tachikawa; Hideo Inoue; Susumu Oogi; Hitoshi Higurashi; Akinori Mine; Takiji Ishimura; Seiichi Tsuchiya; Yoshitada Gomi; Hideki Matsui; Shuichi Tamamushi

Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM- 7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid writing time increase. In data path, distributed processing system is newly built to handle large amounts of data efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as 2G shots/mm2 local pattern density. In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.


Japanese Journal of Applied Physics | 1995

Reduction of Electron Beam Drift Caused by Deflecting Electrode by Downflow Cleaning Process

Munehiro Ogasawara; Kenji Ohtoshi; Kazuyoshi Sugihara

We studied the electron beam drift caused by charging up of deflector electrode surfaces oxidized by a dry cleaning process used to remove the contamination layer. The process adopted was a downflow ashing process with a mixture of CF 4 and oxygen as a source gas. It was found that even a gold surface is oxidized and suffers from charging up after the cleaning process. It was also found that such a gold oxide layer can be removed by a postprocessing with nitrogen radicals, and that the charging up is reduced. However, when the surface is nickel, the nitrogen postprocess does not remove the oxide layer, leaving large beam drift.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron-beam mask writer EBM-6000 for 45 nm HP node

Jun Yashima; Kenji Ohtoshi; Noriaki Nakayamada; Hirohito Anze; Takehiko Katsumata; Tomohiro Iijima; Rieko Nishimura; Syuuichiro Fukutome; Nobuo Miyamoto; Seiji Wake; Yusuke Sakai; Shinji Sakamoto; Shigehiro Hara; Hitoshi Higurashi; Kiyoshi Hattori; Kenichi Saito; Rodney A. Kendall; Shuichi Tamamushi

In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control, electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly are offered as well. In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to solidly prove the viability of EBM-6000 for 45 nm HP node.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

New electron optics for mask writer EBM-7000 to challenge hp 32nm generation

Takashi Kamikubo; Steven D. Golladay; Rodney A. Kendall; Victor Katsap; Kenji Ohtoshi; Munehiro Ogasawara; Shinsuke Nishimura; Rieko Nishimura; Osamu Iizuka; Takahito Nakayama; Shunji Shinkawa; Tetsurou Nishiyama; Shuichi Tamamushi

Semiconductor scaling is expected to continue to hp32nm and beyond, accompanied by explosive data volume expansion. Required minimum feature size at hp 32nm will be less than 50nm on the mask, according to ITRS2007(1). EBM 7000 is a newly designed mask writer for the hp32 nm node with an improved electron optical column providing the beam resolution (10 nm measured in situ) and beam current density (200 A/cm2) necessary for cost effective mask production at hp32nm node. In this paper we report on column improvements, the in situ beam blur measurement method and writing results from EBM 7000. Written patterns show dose margin (CD change [nm] / 1 % dose change) of .94 nm /1 % dose for line/space arrays using chemically amplified resist PRL009 and our standard processing. Using a simple model to relate the measured beam intensity distribution to the measured dose margin, we infer an effective total blur of 30 nm, dominated by a contribution of 28 nm from the resist exposure and development process. Further evidence of the dominance of the process contribution is the measured improvement in dose margin to .64 nm/% dose obtained by modifying our standard process. Even larger process improvements will be needed for successful fabrication of hp22nm masks.


Japanese Journal of Applied Physics | 1996

Recovery of SEM image by in-situ cleaning of contaminated objective aperture

Kenji Ohtoshi; Munehiro Ogasawara; Kazuyoshi Sugihara Yuichirou Yamazaki; Motosuke Miyoshi

We studied the effect of in-situ cleaning of a contaminated scanning electron microscope (SEM) objective aperture, applying the down-flow ashing process with a O2+CF4 gas mixture. Model experiments, using resists instead of contamination layers, were carried out and empirical equations which describe the dependence of etching rates on experimental parameters were obtained. A conversion factor between resist and contamination layer etching rates was experimentally obtained, and the conditions required for in-situ cleaning were determined. By applying in-situ cleaning, the SEM images, which had deteriorated after two weeks of operation, were recovered to nearly the same level of quality as those obtained using a new aperture.


Photomask and next-generation lithography mask technology. Conference | 2001

Writing accuracy of EBM-3500 electron beam mask writing system

Kenji Ohtoshi; Hitoshi Sunaoshi; Jun Takamatsu; Fumiyuki Okabe; K. Ishibashi; Shusuke Yoshitake; Hirokazu Yamada; Shuichi Tamamushi; Hirohito Anze; T. Kamikobo; Yoji Ogawa

A high accuracy electron beam writing system EBM-3500 has been developed for 130 nm node lithography technology. The EBM-3500 is based on its predecessor EBM-3000 system and incorporates new features to improve writing accuracies. Based on the extensive error analyses of the EBM-3000, several important improvements in such areas as ground noise and stray magnetic field reductions, among others, have been made. Thanks to these improvements, EBM-3500 achieves high accuracies to satisfy the present and future technology requirements.


international microprocesses and nanotechnology conference | 1997

The Effect of Down-Flow Cleaning Process on Materials Used in an Electron Beam System.

Naoharu Shimomura; Munehiro Ogasawara; Kenji Ohtoshi; Satoshi Yamasaki; Yuji Fukudome; Ryoichi Hirano; Shuichi Tamamushi; Toru Tojo; Tadahiro Takigawa

In order to search for an appropriate material for an electron beam (EB) system to which in situ cleaning is applied, we investigated the particle generation due to the cleaning process and beam drift due to charging of the processed electrodes. In order to study the particle generation, we observed the change of surface morphologies of materials caused by the cleaning process. Particles are generated on the surfaces of Ag and BeCu. Particle generation is not observed on the surfaces of Ti, Cu, BeCu (Au-plated), Au, Al, Al (Au-plated), Al (Ni plated) and Pt. In order to study the charging effect, we measured the beam drift after cleaning the electrodes. The beam drift is small when Au and Ti electrodes are used and large when Ni plated electrodes are used. Therefore, Au and Ti are suitable materials for the EB system to which the in situ cleaning process is applied.


Photomask and x-ray mask technology. Conference | 1997

Development of an in-situ cleaning system for an e-beam reticle writer

Kenji Ohtoshi; Satoshi Yamasaki; Shuichi Tamamushi; Toru Tojo; Ryoichi Hirano; Yuuji Fukudome; Naoharu Shimomura; Shinsuke Nishimura; Shusuke Yoshitake; Munehiro Ogasawara

We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.

Collaboration


Dive into the Kenji Ohtoshi's collaboration.

Researchain Logo
Decentralizing Knowledge