Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael S. Hibbs is active.

Publication


Featured researches published by Michael S. Hibbs.


26th Annual International Symposium on Microlithography | 2001

Optimum Mask and Source Patterns to Print a Given Shape

Alan E. Rosenbluth; Scott J. Bukofsky; Michael S. Hibbs; Kafai Lai; Antoinette F. Molless; Rama Nand Singh; Alfred K. K. Wong

New degrees of freedom can be optimized in mask shapes when the source is also adjustable, because required image symmetries can be provided by the source rather than the collected wavefront. The optimized mask will often consist of novel sets of shapes that are quite different in layout from the target IC patterns. This implies that the optimization algorithm should have good global convergence properties, since the target patterns may not be a suitable starting solution. We have developed an algorithm that can optimize mask and source without using a starting design. Examples are shown where the process window obtained is between 2 and 6 times larger than that achieved with standard RET methods. The optimized masks require phase shift, but no trim mask is used. Thus far we have only optimized 2D patterns over small fields (periodicities of approximately 1 micrometer or less). We also discuss mask optimization with fixed source, source optimization with fixed mask, and the re-targeting of designs in different mask regions to provide a common exposure level.


Journal of Micro-nanolithography Mems and Moems | 2002

Optimum mask and source patterns to print a given shape

Alan E. Rosenbluth; Scott J. Bukofsky; Carlos Fonseca; Michael S. Hibbs; Kafai Lai; Antoinette F. Molless; Rama Nand Singh; Alfred K. K. Wong

New degrees of freedom can be optimized in mask shapes when the source is also adjustable, because required image symmetries can be provided by the source rather than the collected wave front. The optimized mask will often consist of novel sets of shapes that are quite different in layout from the target integrated circuit patterns. This implies that the optimization algorithm should have good global convergence properties, since the target patterns may not be a suitable starting solution. We have developed an algorithm that can optimize mask and source without using a starting design. Examples are shown where the process window obtained is between two and six times larger than that achieved with standard reticle enhancement techniques (RET). The optimized masks require phase shift, but no trim mask is used. Thus far we can only optimize two-dimensional patterns over small fields (periodicities of ;1 mm or less), though patterns in two separate fields can be jointly optimized for maximum common window under a single source. We also discuss mask optimization with fixed source, source optimization with fixed mask, and the retargeting of designs in different mask regions to provide a common exposure level.


SPIE's 1994 Symposium on Microlithography | 1994

Quantitative stepper metrology using the focus monitor test mask

Timothy A. Brunner; Alexander Lee Martin; Ronald M. Martino; Christopher P. Ausschnitt; Thomas Harold Newman; Michael S. Hibbs

A new lithographic test pattern, the focus monitor, is introduced. Through the use of phase shift techniques, focus errors translate into easily measurable overlay shifts in the printed pattern. Each individual focus monitor pattern can be directly read for the sign and magnitude of the focus error. This paper presents a detailed verification of the validity of this approach, along with several preliminary applications.


Proceedings of SPIE | 2009

Experimental result and simulation analysis for the use of pixelated illumination from source mask optimization for 22nm logic lithography process

Kafai Lai; Alan E. Rosenbluth; Saeed Bagheri; John A. Hoffnagle; Kehan Tian; David O. Melville; Jaione Tirapu-Azpiroz; Moutaz Fakhry; Young Kim; Scott Halle; Greg McIntyre; Alfred Wagner; Geoffrey W. Burr; Martin Burkhardt; Daniel Corliss; Emily Gallagher; Tom Faure; Michael S. Hibbs; Donis G. Flagello; Joerg Zimmermann; Bernhard Kneer; Frank Rohmund; Frank Hartung; Christoph Hennerkes; Manfred Maul; Robert Kazinczi; Andre Engelen; Rene Carpaij; Remco Jochem Sebastiaan Groenendijk; Joost Hageman

We demonstrate experimentally for the first time the feasibility of applying SMO technology using pixelated illumination. Wafer images of SRAM contact holes were obtained to confirm the feasibility of using SMO for 22nm node lithography. There are still challenges in other areas of SMO integration such as mask build, mask inspection and repair, process modeling, full chip design issues and pixelated illumination, which is the emphasis in this paper. In this first attempt we successfully designed a manufacturable pixelated source and had it fabricated and installed in an exposure tool. The printing result is satisfactory, although there are still some deviations of the wafer image from simulation prediction. Further experiment and modeling of the impact of errors in source design and manufacturing will proceed in more detail. We believe that by tightening all kind of specification and optimizing all procedures will make pixelated illumination a viable technology for 22nm or beyond. Publishers Note: The author listing for this paper has been updated to include Carsten Russ. The PDF has been updated to reflect this change.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Characterization of binary and attenuated phase shift mask blanks for 32nm mask fabrication

Thomas B. Faure; Emily Gallagher; Michael S. Hibbs; Louis Kindt; Ken Racette; Richard Wistrom; Amy E. Zweber; Alfred Wagner; Yasutaka Kikuchi; Toru Komizo; Satoru Nemoto

During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly- developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity, through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..


Journal of Micro-nanolithography Mems and Moems | 2010

Lithographic qualification of new opaque MoSi binary mask blank for the 32-nm node and beyond

Greg McIntyre; Michael S. Hibbs; Jaione Tirapu-Azpiroz; Geng Han; Scott Halle; Tom Faure; Ryan P. Deschner; Brad Morgenfeld; Sridhar Ramaswamy; Alfred Wagner; Tim Brunner; Yasutaka Kikuchi

We discuss the lithographic qualification of a new type of binary mask blank consisting of an opaque layer of MoSi on a glass substrate, referred to simply as OMOG. First, OMOG lithographic performance will be compared to a previous chrome/MoSi/glass binary intensity mask (BIM) blank. Standard 70-nm chrome on class (COG) was not considered, as it failed to meet mask-making requirements. Theory and a series of simulation and experimental studies show OMOG to outperform BIM, particularly due to electromagnetic effects and optical proximity correction (OPC) predictability concerns, as OMOG behaves very similarly to the ideal thin mask approximation (TMA). A new TMA-predictability metric is defined as a means to compare mask blanks. We weigh the relative advantages and disadvantages of OMOG compared to 6% attenuated phase shifting. Although both mask blanks are likely sufficient for the 32-nm and 22-nm nodes, some differences exist and are described. Overall, however, of the blanks considered, it is concluded that OMOG provides the most robust and extendable imaging solution available for 32-nm and beyond.


Photomask Technology 2014 | 2014

Repairing native defects on EUV mask blanks

Mark Lawliss; Emily Gallagher; Michael S. Hibbs; Kazunori Seki; Takeshi Isogawa; Tod Robinson; Jeff LeClaire

Mask defectivity is a serious problem for all lithographic masks, but especially for EUV masks. Defects in the EUV blank are particularly challenging because their elimination is beyond control of the mask fab. If defects have been identified on a mask blank, patterns can be shifted to place as many blank defects as possible in regions where printing impact will be eliminated or become unimportant. For those defects that cannot be mitigated through pattern shift, repair strategies must be developed. Repairing defects that occur naturally in the EUV blank is challenging because the printability of these defects varies widely. This paper describes some types of native defects commonly found and begins to outline a triage strategy for defects that are identified on the blank. Sample defects best suited to nanomachining repair are treated in detail: repairs are attempted, characterized using mask metrology and then tested for printability. Based on the initial results, the viability of repairing EUV blank native defects is discussed.


Metrology, inspection, and process control for microlithography. Conference | 2005

The effect of mask substrate and mask process steps on patterned photomask flatness

Kenneth C. Racette; Monica Barrett; Michael S. Hibbs; Max G. Levy

Photomask substrate, blank, and finished mask flatness are becoming more serious concerns for photomask fabrication. Most commercial and captive mask houses now use a combination of mask blanks at various flatness levels from >2.0um to <0.5um, measured as total indicated range, or TIR. As mask feature sizes are reduced, depth of focus becomes significantly smaller, driving the need for tighter flatness specifications. Photomask blank suppliers generally specify mask blank flatness based on measurements of quartz substrates before films are deposited. The mask substrates start with unique, non-flat shapes resulting from polishing and are further deformed by the stress of deposited films. Mask patterning, which removes some of the deposited films, has the potential to change the shape and flatness of the mask. The attachment of a pellicle and frame also has the potential to distort the mask. Since the goal of the mask maker is to provide a finished mask meeting all requirements, including flatness, it is important to understand the effects of each step in the flatness life of the photomask. This paper provides flatness data from the following process steps: quartz substrate, chromium coating, phase shifter coating, resist coating, patterned mask and pelliclized mask. A correlation is made of substrate and blank flatness and shape to finished mask flatness, with proposed practical guidelines for control of final mask flatness.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Imaging behavior of high-transmission attenuating phase-shift mask films

Michael S. Hibbs; Satoru Nemoto; Toru Komizo

The properties of phase shifting attenuator films are quantified in a variety of ways. Transverse dimensions are measured by optical microscopes or scanning electron microscopes. Vertical dimension and profiles are measured by atomic force microscopes or indirectly by optical scatterometry. The complex refractive index of an attenuator film can be characterized by ellipsometry or by spectroscopic analysis of reflected and transmitted light. Transmission and phase measurements can be made with optical interferometric techniques. Data acquired in these ways can be used as inputs to simulation programs to model the image forming characteristics of the films. For simplicity and speed of calculation, the simulation programs typically use a thin-mask approximation, in which the vertical absorber geometry is ignored and the phase shifting attenuator regions are characterized only by their transmission, phase shift, and two-dimensional geometric shapes. Inclusion of the full three-dimensional profile and complex refractive index of the absorber can be done, but at the cost of greatly increased calculation time and a loss of the simplicity of understanding afforded by the thin-mask model. For example, the thin-mask model assumes that every geometrical feature etched into a given attenuator film will have the same phase and transmission properties. Comparison of thin-mask modeling results with the full three dimensional model shows that this assumption is not true. The effective dimensional bias, phase, transmission, and defocus are strong functions of the feature size, pitch, and complex refractive index of the film. Three dimensional simulations were run for several commercial and developmental high-transmission phase-shifting attenuator films. The effective phase and dimensional printing bias were calculated as a function of pitch for each film. Surprising differences were found in the results for the various film types.


Metrology, inspection, and process control for microlithography. Conference | 2006

Phase calibration for attenuating phase-shift masks

Michael S. Hibbs; Timothy A. Brunner

As metrology tolerances for photomasks become increasingly tight, the issues of measurement methods and calibration standards become more and more important. This is as true for optical phase metrology as it is for dimensional metrology. To first order, an optical phase standard can be defined by etching a step or trench into a transparent medium like fused silica with a well-characterized index of refraction. The normal-incidence phase shift of such a structure can be calculated with accuracy limited mainly by our ability to measure the physical depth of the trench. Other methods exist for measuring optical phase shifts in alternating aperture masks. For example a Levenson grating exhibits symmetrical behavior through focus only if the phase difference between the phase shifted and unshifted openings is exactly 180°. A measured asymmetry between the phase shifted and unshifted clear features in such a grating can be used to quantitatively measure the deviation from 180° optical phase. This approach is particularly attractive because the measurement can be made on an aerial image metrology system with the numerical aperture and illumination conditions used by the wafer stepper that will eventually project the mask. Calibration of optical phase in attenuating phase masks is more difficult. Until now there has been no accurate way to create and verify a calibration artifact. In this paper we discuss a new phase metrology pattern for attenuating phase masks. The phase error of this pattern can be determined to high accuracy by aerial image measurements. This pattern can be used to create an optical phase standard for calibrating phase metrology equipment for attenuated phase masks, or as a witness pattern on a product mask to verify the phase accuracy of that mask.

Researchain Logo
Decentralizing Knowledge