Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kevin R. Winstel is active.

Publication


Featured researches published by Kevin R. Winstel.


international electron devices meeting | 2011

3D copper TSV integration, testing and reliability

Mukta G. Farooq; Troy L. Graves-Abe; William F. Landers; Chandrasekharan Kothandaraman; B. Himmel; Paul S. Andry; Cornelia K. Tsang; E.J. Sprogis; Richard P. Volant; Kevin S. Petrarca; Kevin R. Winstel; John M. Safran; T. Sullivan; Fen Chen; M. J. Shapiro; Robert Hannon; R. Liptak; Daniel George Berger; S. S. Iyer

Node-agnostic Cu TSVs integrated with high-K/metal gate and embedded DRAM were used in functional 3D modules. Thermal cycling and stress results show no degradation of TSV or BEOL structures, and device and functional data indicate that there is no significant impact from TSV processing and/or proximity.


international electron devices meeting | 1998

Integration of trench DRAM into a high-performance 0.18 /spl mu/m logic technology with copper BEOL

S. Crowder; Robert Hannon; Herbert L. Ho; D. Sinitsky; S. Wu; Kevin R. Winstel; B. Khan; S.R. Stiffler; S. S. Iyer

In this work, we demonstrate the integration of trench DRAM into a 0.18 /spl mu/m copper BEOL technology which is fully compatible with our most advanced logic technology and requires no redesign of preexisting logic circuitry. This technology offers a 0.617 /spl mu/m/sup 2/ DRAM cell on the same chip as a 4.2 /spl mu/m/sup 2/ SRAM cell and dual damascene copper metallization with the highest reported device performance for a 1.5 V bulk silicon technology. We demonstrate a fixable retention time of over 256 ms at 85/spl deg/C for the DRAM cell without any degradation in logic device performance or density.


ieee soi 3d subthreshold microelectronics technology unified conference | 2013

Three-dimensional wafer stacking using Cu TSV integrated with 45nm high performance SOI-CMOS embedded DRAM technology

Pooja Batra; Douglas Charles Latulipe; Spyridon Skordas; Kevin R. Winstel; Chandrasekharan Kothandaraman; Ben Himmel; Gary W. Maier; Bishan He; Deepal Wehella Gamage; John Golz; Wei Lin; Tuan Vo; Deepika Priyadarshini; Alex Hubbard; Kristian Cauffman; Brown Peethala; John E. Barth; Toshiaki Kirihata; Troy L. Graves-Abe; Norman Robson; Subramanian S. Iyer

For high-volume production of 3D-stacked chips with through-silicon-via (TSVs), wafer-scale bonding offers lower production cost compared with bump bond technology [1][2][3] and is promising for interconnect pitch <;= 5μ range using available tooling. Prior work [3] has presented wafer-scale integration with tungsten TSV for low-power applications. This paper reports the first use of low-temperature oxide bonding and copper TSV to stack high performance cache cores manufactured in 45nm SOI-CMOS embedded DRAM (EDRAM) having 12 to 13 copper wiring levels per strata. A key feature of this process is its compatibility with the existing high performance POWER7™ EDRAM core [4] requiring neither re-design nor modification of the existing CMOS fabrication process. Hardware measurements show no significant impact on device drive and off-current. Functional test at wafer level confirms 1.48GHz 3D stacked EDRAM operation.


ieee international d systems integration conference | 2010

Low temperature direct wafer to wafer bonding for 3D integration: Direct bonding, surface preparation, wafer-to-wafer alignment

Gweltaz Gaudin; Gregory Riou; Didier Landru; Catherine Tempesta; Ionut Radu; Mariam Sadaka; Kevin R. Winstel; Emily R. Kinser; Robert Hannon

In this paper the integration challenges related to oxide-oxide bonding for wafer-to-wafer stacking technology are discussed. Furthermore, interface defectivity, wafer-to-wafer alignment and bond strength data are presented.


electronic components and technology conference | 2014

Bonding technologies for chip level and wafer level 3D integration

Katsuyuki Sakuma; Spyridon Skordas; Jeffrey A. Zitz; Eric D. Perfecto; William L. Guthrie; Luc Guerin; Richard Langlois; Hsichang Liu; Wei Lin; Kevin R. Winstel; Sayuri Kohara; Kuniaki Sueoka; Matthew Angyal; Troy L. Graves-Abe; Daniel George Berger; John U. Knickerbocker; Subramanian S. Iyer

This paper provides a comparison of bonding process technologies for chip and wafer level 3D integration (3Di). We discuss bonding methods and comparison of the reflow furnace, thermo-compression, Cavity ALignment Method (CALM) for chip level bonding, and oxide bonding for 300 mm wafer level 3Di. For chip 3Di, challenges related to maintaining thin die and laminate co-planarity were overcome. Stacking of large thin Si die with 22 nm CMOS devices was achieved. The size of the die was more than 600 mm2. Also, 300 mm 3Di wafer stacking with 45 nm CMOS devices was demonstrated. Wafers thinned to 10 μm with Cu through-silicon-via (TSV) interconnections were formed after bonding to another device wafer. In either chip or wafer level 3Di, testing results show no loss of integrity due to the bonding technologies.


ieee soi 3d subthreshold microelectronics technology unified conference | 2014

Prototype of multi-stacked memory wafers using low-temperature oxide bonding and ultra-fine-dimension copper through-silicon via interconnects

Wei Lin; Johnathan E. Faltermeier; Kevin R. Winstel; Spyridon Skordas; Troy L. Graves-Abe; Pooja Batra; Kenneth Robert Herman; John Golz; Toshiaki Kirihata; John J. Garant; Alex Hubbard; Kris Cauffman; Theodore Levine; James Kelly; Deepika Priyadarshini; Brown Peethala; Raghuveer Patlolla; Matthew T. Shoudy; J. Demarest; Jean E. Wynne; Donald F. Canaperi; Dale McHerron; Daniel George Berger; Subramanian S. Iyer

Reported for the first time is proof-of-concept multi-stacking of memory wafers based on low-temperature oxide wafer bonding using novel design and integration of two types of ultra-fine-dimension copper TSV interconnects. The combined via-middle (intra-via) and via-last (inter-via) strategy allows for the greatest degree of interconnectivity with the tightest allowable pitches and permits a highly integrated interconnect system across the stack. In combination with the successful metallization of the ultra-fine-dimension TSVs, the present work has shown the viability to extend the perceived TSV technology beyond the ITRS roadmap.


2012 3rd IEEE International Workshop on Low Temperature Bonding for 3D Integration | 2012

Wafer-scale oxide fusion bonding and wafer thinning development for 3D systems integration: Oxide fusion wafer bonding and wafer thinning development for TSV-last integration

Spyridon Skordas; D.C. La Tulipe; Kevin R. Winstel; Tuan Vo; Deepika Priyadarshini; A. Upham; D. Song; Alex Hubbard; R. Johnson; K. Cauffman; S. Kanakasabapathy; Wei Lin; S. Knupp; M. Malley; M. G. Farooq; R. Hannon; D. Berger; S. S. Iyer

300mm Si wafer-scale oxide fusion bonding and mechanical/wet etch assisted wafer thinning processes were combined with a TSV-last 3D integration strategy to fabricate electrical open/short yield learning on through-wafer electrical TSV test chains.


ieee soi 3d subthreshold microelectronics technology unified conference | 2015

Essential edge protection techniques for successful multi-wafer stacking

Joshua M. Rubin; Kevin R. Winstel; Alex Hubbard; Cody Murray; Kisup Chung; James Kelly; Babar A. Khan; Arvind Kumar; Vamsi Paruchuri

3D edge protection for wafer scale stacking using oxide bonding has been demonstrated with readily available CMOS compatible processes. Edge voiding has been shown to vastly reduce as well CMP edge removal. By utilizing edge protection schemes such as this, in combination with other approaches for bevel/edge protection for wafer thinning [4], wafer edges can be preserved with minimal additional processing complexity to enable multi-wafer 3D stacking and technologies such as Backside Illuminated Image Sensors (BSI) [9] and 3Dm, all of which can benefit from such an approach.


Low Temperature Bonding for 3D Integration (LTB-3D), 2014 4th IEEE International Workshop on | 2014

Copper-to-dielectric heterogeneous bonding for 3D integration

Wei Lin; Juntao Li; Joseph Washington; David L. Rath; Spyridon Skordas; Toshiaki Kirihata; Kevin R. Winstel; Brown Peethala; J. Demarest; Da Song; Daniel C. Edelstein; S. S. Iyer

A novel hybrid bonding process has been developed that achieved a successful copper/SiO2 heterogeneous bonding.


Archive | 2012

Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last

Mukta G. Farooq; Spyridon Skordas; Richard P. Volant; Kevin R. Winstel

Researchain Logo
Decentralizing Knowledge