Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brown Peethala is active.

Publication


Featured researches published by Brown Peethala.


ieee soi 3d subthreshold microelectronics technology unified conference | 2013

Three-dimensional wafer stacking using Cu TSV integrated with 45nm high performance SOI-CMOS embedded DRAM technology

Pooja Batra; Douglas Charles Latulipe; Spyridon Skordas; Kevin R. Winstel; Chandrasekharan Kothandaraman; Ben Himmel; Gary W. Maier; Bishan He; Deepal Wehella Gamage; John Golz; Wei Lin; Tuan Vo; Deepika Priyadarshini; Alex Hubbard; Kristian Cauffman; Brown Peethala; John E. Barth; Toshiaki Kirihata; Troy L. Graves-Abe; Norman Robson; Subramanian S. Iyer

For high-volume production of 3D-stacked chips with through-silicon-via (TSVs), wafer-scale bonding offers lower production cost compared with bump bond technology [1][2][3] and is promising for interconnect pitch <;= 5μ range using available tooling. Prior work [3] has presented wafer-scale integration with tungsten TSV for low-power applications. This paper reports the first use of low-temperature oxide bonding and copper TSV to stack high performance cache cores manufactured in 45nm SOI-CMOS embedded DRAM (EDRAM) having 12 to 13 copper wiring levels per strata. A key feature of this process is its compatibility with the existing high performance POWER7™ EDRAM core [4] requiring neither re-design nor modification of the existing CMOS fabrication process. Hardware measurements show no significant impact on device drive and off-current. Functional test at wafer level confirms 1.48GHz 3D stacked EDRAM operation.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


international interconnect technology conference | 2016

Experimental study of nanoscale Co damascene BEOL interconnect structures

J. Kelly; James Chen; H. Huang; C.-K. Hu; E. Liniger; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Hosadurga Shobha; Takeshi Nogami; Terry A. Spooner; Elbert E. Huang; Daniel C. Edelstein; Donald F. Canaperi; Vimal Kamineni; S. Siddiqui

We characterize integrated dual damascene Co and Cu BEOL lines and vias, at 10 nm node dimensions. The Co to Cu line resistance ratios for 24 nm and 220 nm wide lines were 2.1 and 3.5, respectively. The Co via resistance was just 1.7 times that of Cu, with the smaller ratio attributed to the barrier layer series via resistance. Electrical continuity of Co via chain structures was good, while some chain-chain shorts and leakage suggests metal residuals from the Co polish process. The Co lines and vias, fabricated using conventional BEOL processes, exhibit good Co fill by TEM, with no visible evidence of Co in the dielectric. The relatively smaller resistance increase for Co vias suggests a potential via resistance benefit, a thinner or less resistive barrier can be employed. Co line resistance will likely not be competitive with Cu until after the next technology node.


ieee soi 3d subthreshold microelectronics technology unified conference | 2014

Prototype of multi-stacked memory wafers using low-temperature oxide bonding and ultra-fine-dimension copper through-silicon via interconnects

Wei Lin; Johnathan E. Faltermeier; Kevin R. Winstel; Spyridon Skordas; Troy L. Graves-Abe; Pooja Batra; Kenneth Robert Herman; John Golz; Toshiaki Kirihata; John J. Garant; Alex Hubbard; Kris Cauffman; Theodore Levine; James Kelly; Deepika Priyadarshini; Brown Peethala; Raghuveer Patlolla; Matthew T. Shoudy; J. Demarest; Jean E. Wynne; Donald F. Canaperi; Dale McHerron; Daniel George Berger; Subramanian S. Iyer

Reported for the first time is proof-of-concept multi-stacking of memory wafers based on low-temperature oxide wafer bonding using novel design and integration of two types of ultra-fine-dimension copper TSV interconnects. The combined via-middle (intra-via) and via-last (inter-via) strategy allows for the greatest degree of interconnectivity with the tightest allowable pitches and permits a highly integrated interconnect system across the stack. In combination with the successful metallization of the ultra-fine-dimension TSVs, the present work has shown the viability to extend the perceived TSV technology beyond the ITRS roadmap.


international interconnect technology conference | 2016

BEOL process integration for the 7 nm technology node

Theodorus E. Standaert; Genevieve Beique; H.-C. Chen; Shyng-Tsong Chen; B. Hamieh; Joe Lee; Paul S. McLaughlin; J. McMahon; Yann Mignot; Koichi Motoyama; Son Van Nguyen; Raghuveer Patlolla; Brown Peethala; Deepika Priyadarshini; M. Rizzolo; Nicole Saulnier; Hosadurga Shobha; S. Siddiqui; Terry A. Spooner; H. Tang; O. van der Straten; E. Verduijn; Yongan Xu; Xunyuan Zhang; John C. Arnold; Donald F. Canaperi; Matthew E. Colburn; Daniel C. Edelstein; Vamsi Paruchuri; Griselda Bonilla

A 36 nm pitch BEOL has been evaluated for the 7 nm technology node. EUV lithography was employed as a single-exposure patterning solution. For the first time, it is shown that excellent reliability results can be obtained for Cu interconnects at these small dimensions, by using a TaN/Ru barrier system and a selective Co cap.


international interconnect technology conference | 2017

Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires

C.-K. Hu; J. Kelly; J. H-C Chen; H. Huang; Y. Ostrovski; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Terry A. Spooner; Lynne M. Gignac; J. Bruley; C. Breslin; S. Cohen; G. Lian; M. Ali; R. Long; G. Hornicek; Terence Kane; Vimal Kamineni; Xunyuan Zhang; Shariq Siddiqui

Electromigration and resistivity of Cu, Co and Ru on-chip interconnection have been investigated. A similar resistivity size effect increase was observed in Cu, Co, and Ru. The effect of liners and cap, e.g. Ta, Co, Ru and SiCxNyHz, on Cu/interface resistivity was not found to be significant. Multilevel Cu, Co or Ru back-end-of-line interconnects were fabricated using 10 nm node technology wafer processing steps. EM in 22 nm to 88 nm wide Co lines, 24 nm wide Cu with and without a thin Co cap and 24 nm wide Ru lines were tested. These data showed that Cu with a Co cap, Co and Ru had highly reliable EM, although Ru was better than Co and Co was better Cu. The electromigration activation energies for Cu with Co cap and Co were found to be 1.5–1.6 eV and 2.1–2.7 eV, respectively.


Low Temperature Bonding for 3D Integration (LTB-3D), 2014 4th IEEE International Workshop on | 2014

Copper-to-dielectric heterogeneous bonding for 3D integration

Wei Lin; Juntao Li; Joseph Washington; David L. Rath; Spyridon Skordas; Toshiaki Kirihata; Kevin R. Winstel; Brown Peethala; J. Demarest; Da Song; Daniel C. Edelstein; S. S. Iyer

A novel hybrid bonding process has been developed that achieved a successful copper/SiO2 heterogeneous bonding.


symposium on vlsi technology | 2017

Comparison of key fine-line BEOL metallization schemes for beyond 7 nm node

Takeshi Nogami; Xunyuan Zhang; J. Kelly; Benjamin D. Briggs; H. You; Raghuveer Patlolla; H. Huang; Paul S. McLaughlin; Joe Lee; Hosadurga Shobha; Son Van Nguyen; S. DeVries; J. Demarest; G. Lian; J. Li; J. Maniscalco; P. Bhosale; Xuan Lin; Brown Peethala; N. Lanzillo; Terence Kane; Chih-Chao Yang; Koichi Motoyama; D. Sil; Terry A. Spooner; Donald F. Canaperi; Theodorus E. Standaert; S. Lian; Alfred Grill; Daniel C. Edelstein

For beyond 7 nm node BEOL, line resistance (R) is assessed among four metallization schemes: Ru; Co; Cu with TaN/Ru barrier, and Cu with through-cobalt self-forming barrier (tCoSFB) [1]. Line-R vs. linewidth of Cu fine wires with TaN/Ru barrier crosses over with barrier-less Ru and Co wires for beyond-7 nm node dimensions, whereas Cu with tCoSFB remains competitive, with the lowest line R for 7 nm and beyond. Our study suggests promise of this last scheme to meet requirements in line R and EM reliability.


international interconnect technology conference | 2017

Reliable airgap BEOL technology in advanced 48 nm pitch copper/ULK interconnects for substantial power and performance benefits

Christopher J. Penny; Stephen M. Gates; Brown Peethala; Joe Lee; Deepika Priyadarshini; Son Van Nguyen; Paul S. McLaughlin; E. Liniger; C.-K. Hu; Lawrence A. Clevenger; Terence B. Hook; Hosadurga Shobha; Pranita Kerber; Indira Seshadri; James Chen; Daniel C. Edelstein; Roger A. Quon; Griselda Bonilla; Vamsi Paruchuri; Elbert E. Huang

This paper demonstrates the first reliable and low cost airgap BEOL technology, generated at extremely tight dimensions (48 nm pitch) in Cu/ULK. This provides 20% nested-line capacitance reduction relative to the ungapped Cu/ULK baseline. This result is of critical importance, as it validates that airgaps can be extended down to ultrafine wire levels, such as for the 10 nm technology node. Current technologies implement airgaps only at fat-wire levels; however, a significant enhancement in chip performance can be gained by including airgaps in the finest wiring levels as well. To achieve this, we benefitted from several elements which address various process, integration, and reliability challenges associated with airgap formation at such small dimensions. We present data and explanations of these solutions, and their impacts on yield, performance, defectivity and reliability (EM and TDDB).


international interconnect technology conference | 2017

Cobalt/copper composite interconnects for line resistance reduction in both fine and wide lines

Takeshi Nogami; Raghuveer Patlolla; J. Kelly; Benjamin D. Briggs; H. Huang; J. Demarest; Jing Li; R. Hengstebeck; Xunyuan Zhang; G. Lian; Brown Peethala; P. Bhosale; J. Maniscalco; Hosadurga Shobha; Son Van Nguyen; Paul S. McLaughlin; Theodorus E. Standaert; Donald F. Canaperi; Daniel C. Edelstein; Vamsi Paruchuri

Co/Cu composite interconnect systems were studied. Since wide Cu lines require a diffusion barrier which is simultaneously applied also to fine Co lines to reduce Co volume fraction, through-Cobalt Self-Formed-Barrier (tCoSFB) was employed to thin down TaN barrier to <1 nm which works as an adhesion layer for Co lines. Line R of fine Co lines was reduced by 30% successfully. The Co/tCoSFB-Cu composite interconnect system is promising to achieve low line R for both fine and wide lines simultaneously in 7nm BEOL and beyond.

Researchain Logo
Decentralizing Knowledge