Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Troy L. Graves-Abe is active.

Publication


Featured researches published by Troy L. Graves-Abe.


international electron devices meeting | 2011

3D copper TSV integration, testing and reliability

Mukta G. Farooq; Troy L. Graves-Abe; William F. Landers; Chandrasekharan Kothandaraman; B. Himmel; Paul S. Andry; Cornelia K. Tsang; E.J. Sprogis; Richard P. Volant; Kevin S. Petrarca; Kevin R. Winstel; John M. Safran; T. Sullivan; Fen Chen; M. J. Shapiro; Robert Hannon; R. Liptak; Daniel George Berger; S. S. Iyer

Node-agnostic Cu TSVs integrated with high-K/metal gate and embedded DRAM were used in functional 3D modules. Thermal cycling and stress results show no degradation of TSV or BEOL structures, and device and functional data indicate that there is no significant impact from TSV processing and/or proximity.


international electron devices meeting | 2008

Gate length scaling and high drive currents enabled for high performance SOI technology using high-κ/metal gate

K. Henson; Huiming Bu; Myung-Hee Na; Y. Liang; Unoh Kwon; Siddarth A. Krishnan; James K. Schaeffer; Rashmi Jha; Naim Moumen; R. Carter; C. DeWan; R. Donaton; Dechao Guo; M. Hargrove; W. He; Renee T. Mo; K. Ramani; Kathryn T. Schonenberg; Y. Tsang; X. Wang; Michael A. Gribelyuk; W. Yan; Joseph F. Shepard; E. Cartier; M. Frank; Eric C. Harley; R. Arndt; R. Knarr; T. Bailey; B. Zhang

CMOS devices with high-k/metal gate stacks have been fabricated using a gate-first process flow and conventional stressors at gate lengths of 25 nm, highlighting the scalability of this approach for high performance SOI CMOS technology. AC drive currents of 1630muA/mum and 1190muA/mum have been demonstrated in 45 nm ground-rules at 1V and 200nA/mum off current for nFETs and pFETs, at a Tinv of 14 and 15 respectively. The drive currents were achieved using a simplified high-k/metal gate integration scheme with embedded SiGe and dual stress liners (DSL) and without utilizing additional stress enhancers. Devices have been fabricated with Tinvs down to 12 and 10.5 demonstrating the scalability of this approach for 32 nm and beyond.


ieee soi 3d subthreshold microelectronics technology unified conference | 2013

Three-dimensional wafer stacking using Cu TSV integrated with 45nm high performance SOI-CMOS embedded DRAM technology

Pooja Batra; Douglas Charles Latulipe; Spyridon Skordas; Kevin R. Winstel; Chandrasekharan Kothandaraman; Ben Himmel; Gary W. Maier; Bishan He; Deepal Wehella Gamage; John Golz; Wei Lin; Tuan Vo; Deepika Priyadarshini; Alex Hubbard; Kristian Cauffman; Brown Peethala; John E. Barth; Toshiaki Kirihata; Troy L. Graves-Abe; Norman Robson; Subramanian S. Iyer

For high-volume production of 3D-stacked chips with through-silicon-via (TSVs), wafer-scale bonding offers lower production cost compared with bump bond technology [1][2][3] and is promising for interconnect pitch <;= 5μ range using available tooling. Prior work [3] has presented wafer-scale integration with tungsten TSV for low-power applications. This paper reports the first use of low-temperature oxide bonding and copper TSV to stack high performance cache cores manufactured in 45nm SOI-CMOS embedded DRAM (EDRAM) having 12 to 13 copper wiring levels per strata. A key feature of this process is its compatibility with the existing high performance POWER7™ EDRAM core [4] requiring neither re-design nor modification of the existing CMOS fabrication process. Hardware measurements show no significant impact on device drive and off-current. Functional test at wafer level confirms 1.48GHz 3D stacked EDRAM operation.


Applied Physics Letters | 2013

Submicron Mapping of Strain Distributions Induced by Three-Dimensional Through-Silicon via Features

Conal E. Murray; Troy L. Graves-Abe; R. Robison; Z. Cai

Strain distributions within the active layer of a silicon-on-insulator substrate induced by through-silicon via (TSV) structures were mapped using x-ray microbeam diffraction. The interaction region of the out-of-plane strain, e33, from a TSV feature containing copper metallization extended approximately 6 μm from the TSV outer edge for circular and annular geometries. Measurements conducted on identical TSV structures without copper reveal that strain fields generated by the liner materials extend a similar distance and with comparable magnitude as those with copper. FEM-based simulations show the total interaction region induced by the TSV can extend farther than that of e33.


electronic components and technology conference | 2014

Bonding technologies for chip level and wafer level 3D integration

Katsuyuki Sakuma; Spyridon Skordas; Jeffrey A. Zitz; Eric D. Perfecto; William L. Guthrie; Luc Guerin; Richard Langlois; Hsichang Liu; Wei Lin; Kevin R. Winstel; Sayuri Kohara; Kuniaki Sueoka; Matthew Angyal; Troy L. Graves-Abe; Daniel George Berger; John U. Knickerbocker; Subramanian S. Iyer

This paper provides a comparison of bonding process technologies for chip and wafer level 3D integration (3Di). We discuss bonding methods and comparison of the reflow furnace, thermo-compression, Cavity ALignment Method (CALM) for chip level bonding, and oxide bonding for 300 mm wafer level 3Di. For chip 3Di, challenges related to maintaining thin die and laminate co-planarity were overcome. Stacking of large thin Si die with 22 nm CMOS devices was achieved. The size of the die was more than 600 mm2. Also, 300 mm 3Di wafer stacking with 45 nm CMOS devices was demonstrated. Wafers thinned to 10 μm with Cu through-silicon-via (TSV) interconnections were formed after bonding to another device wafer. In either chip or wafer level 3Di, testing results show no loss of integrity due to the bonding technologies.


ieee soi 3d subthreshold microelectronics technology unified conference | 2014

Prototype of multi-stacked memory wafers using low-temperature oxide bonding and ultra-fine-dimension copper through-silicon via interconnects

Wei Lin; Johnathan E. Faltermeier; Kevin R. Winstel; Spyridon Skordas; Troy L. Graves-Abe; Pooja Batra; Kenneth Robert Herman; John Golz; Toshiaki Kirihata; John J. Garant; Alex Hubbard; Kris Cauffman; Theodore Levine; James Kelly; Deepika Priyadarshini; Brown Peethala; Raghuveer Patlolla; Matthew T. Shoudy; J. Demarest; Jean E. Wynne; Donald F. Canaperi; Dale McHerron; Daniel George Berger; Subramanian S. Iyer

Reported for the first time is proof-of-concept multi-stacking of memory wafers based on low-temperature oxide wafer bonding using novel design and integration of two types of ultra-fine-dimension copper TSV interconnects. The combined via-middle (intra-via) and via-last (inter-via) strategy allows for the greatest degree of interconnectivity with the tightest allowable pitches and permits a highly integrated interconnect system across the stack. In combination with the successful metallization of the ultra-fine-dimension TSVs, the present work has shown the viability to extend the perceived TSV technology beyond the ITRS roadmap.


international electron devices meeting | 2014

Through silicon via (TSV) effects on devices in close proximity - the role of mobile ion penetration - characterization and mitigation

Chandrasekharan Kothandaraman; S. Cohen; Christopher Parks; J. Golz; K. Tunga; Sami Rosenblatt; John M. Safran; Christopher N. Collins; William F. Landers; Jennifer Oakley; Joyce C. Liu; A.J. Martin; Kevin S. Petrarca; Mukta G. Farooq; Troy L. Graves-Abe; Norman Robson; S. S. Iyer

A new interaction between TSV processes and devices in close proximity, different from mechanical stress, is identified, studied and mitigated. Detailed characterization via Triangular Voltage Sweep (TVS) and SIMS shows the role of mobile ion penetration from BEOL layers. An improved process is presented and confirmed in test structures and DRAM.


international reliability physics symposium | 2015

Impact of 3D copper TSV integration on 32SOI FEOL and BEOL reliability

Mukta G. Farooq; G. La Rosa; Fen Chen; Prakash Periasamy; Troy L. Graves-Abe; Chandrasekharan Kothandaraman; Christopher N. Collins; William F. Landers; Jennifer Oakley; Joyce C. Liu; John M. Safran; S. Ghosh; Steven W. Mittl; Dimitris P. Ioannou; Carole Graas; Daniel George Berger; Subramanian S. Iyer

We integrated a copper TSV (Through Silicon Via) cell in a qualified 32SOI CMOS logic technology with high-K/metal gate and DT (Deep Trench) capacitors. Extensive wafer level characterization and reliability stressing were performed to evaluate the impact of the TSVs and 3D (3-Dimensional) integration processing on device and back end of line reliability performance. This included bias temperature instability stress, hot carrier injection, thermal cycling, wiring electromigration testing, and time-dependent dielectric breakdown studies. The integration of the TSV and process shows an equivalent reliability performance with respect to the 2D baseline for FEOL (Front End of Line) and BEOL (Back End of Line) structures within the assigned 3D design rules. In particular it is demonstrated that this TSV design allows BEOL structures at zero proximity to the KOZ (Keep Out Zone). Further, device and functional data indicate that there is no change in end of life reliability targets from TSV processing and/or proximity.


electronic components and technology conference | 2016

3Di DC-DC Buck Micro Converter with TSVs, Grind Side Inductors, and Deep Trench Decoupling Capacitors in 32nm SOI CMOS

John M. Safran; Giri N. K. Rangan; Venkata Nr Vanukuru; Sandeep Torgal; Vikram Chaturvedi; K P Sarath Lal; Shahid Butt; Gary W. Maier; Alberto Cestero; Thuy Tran-Quinn; Joyeeta Nag; Sami Rosenblatt; Norman Robson; Matthew Angyal; Troy L. Graves-Abe; Daniel George Berger; James Pape; Subramanian S. Iyer

High performance processors and ASICs typically require multiple voltages and multi-domain voltage controls across the die. Conventional approaches distribute the voltage regulation elements between the processor, the package laminate, and the printed circuit board. We propose an alternative approach where the voltage regulator is embodied in a 3D configuration such that the inductor, capacitor and the switches are formed on a separate silicon chip sandwiched between the processor and the laminate. Due to the close proximity of regulator to the processor, this approach can enable granular voltage domains, while minimizing disruptions to the processor layout. We describe a 4-f DC-DC buck converter fabricated on 32nm SOI wafers using TSVs to connect the switches on the front-side of the wafer to the inductors on the grind-side. The process builds on a 32nm SOI CMOS flow, adding deep trench (DT) capacitors and TSVs. Down conversion from a standard I/O voltage under various load conditions was evaluated, and an efficiency of 77% was achieved.


IEEE Journal on Emerging and Selected Topics in Circuits and Systems | 2016

Three-Dimensional Dynamic Random Access Memories Using Through-Silicon-Vias

Toshiaki Kirihata; John Golz; Matthew R. Wordeman; Pooja Batra; Gary W. Maier; Norman Robson; Troy L. Graves-Abe; Daniel George Berger; Subramanian S. Iyer

This paper describes orthogonal scaling of dynamic-random-access-memories (DRAMs) using through-silicon-vias (TSVs). We review 3D DRAMs including DDR3, wide I/O mobile DRAM (WIDE I/O), and more recently, the hybrid-memory cube (HMC) and high-bandwidth memory (HBM) targeted for high-performance computing systems. We then cover embedded 3D DRAM for high-performance cache memories, reviewing an early cache prototype employing face-to-face 3D stacking which confirmed negligible performance and retention degradation using 32 nm server and ASIC embedded DRAM macros. A second cache system prototype based on POWER7 was developed to confirm feasibility of stacking μP and high density cache memory, with > 2 GHz operation. For test and assembly, a micro-electro-mechanical-system (MEMS) probe-card with an integrated active silicon chip, realized a 50 μm pitch micro-probing at-speed-active-test for known-good-die (KGD) sorting. Finally, oxide wafer bonding with Cu TSV demonstrated wafer-scale 3D integration, with TSV diameters as small as 1 μm. The paper concludes with comments on the challenges for future 3D DRAMs.

Researchain Logo
Decentralizing Knowledge