Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kuang-Chao Chen is active.

Publication


Featured researches published by Kuang-Chao Chen.


symposium on vlsi technology | 2010

A highly scalable 8-layer 3D vertical-gate (VG) TFT NAND Flash using junction-free buried channel BE-SONOS device

Hang-Ting Lue; Tzu-Hsuan Hsu; Yi-Hsuan Hsiao; Shih-Ping Hong; Ming-Tsung Wu; Fang-Hao Hsu; N. Z. Lien; Szu-Yu Wang; Jung-Yu Hsieh; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Chih-Yuan Lu

An 8-layer, 75 nm half-pitch, 3D stacked vertical-gate (VG) TFT BE-SONOS NAND Flash array is fabricated and characterized. We propose a buried-channel (n-type well) device to improve the read current of TFT NAND, and it also allows the junction-free structure which is particularly important for 3D stackable devices. Large self-boosting disturb-free memory window (6V) can be obtained in our device, and for the first time the “Z-interference” between adjacent vertical layers is studied. The proposed buried-channel VG NAND allows better X, Y pitch scaling and is a very attractive candidate for ultra high-density 3D stackable NAND Flash.


international electron devices meeting | 2006

A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory

Erh-Kun Lai; Hang-Ting Lue; Yi-Hsuan Hsiao; Jung-Yu Hsieh; C. Y. Lu; Szu-Yu Wang; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Jeng Gong; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

A double-layer TFT NAND-type flash memory is demonstrated, ushering into the era of three-dimensional (3D) flash memory. A TFT device using bandgap engineered SONOS (BE-SONOS) (Lue et al., 2005, Lai et al., 2006) with fully-depleted (FD) poly silicon (60 nm) channel and tri-gate P+-poly gate is integrated into a NAND array. Small devices (L/W=0.2/0.09 mum) with excellent performance and reliability properties are achieved. The bottom layer shows no sign of reliability degradation compared to the top layer, indicating the potential for further multi-layer stacking. The present work illustrates the feasibility of 3D flash memory


international electron devices meeting | 2009

Study of sub-30nm thin film transistor (TFT) charge-trapping (CT) devices for 3D NAND flash application

Tzu-Hsuan Hsu; Hang-Ting Lue; Chih-Chang Hsieh; Erh-Kun Lai; C. Y. Lu; Shih-Ping Hong; Ming-Tsung Wu; Fang-Hao Hsu; N. Z. Lien; Jung-Yu Hsieh; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

Sub-30nm TFT CT NAND flash devices have been extensively studied. Although TFT devices were often believed to have much worse performance than bulk devices, our results show that as devices scale down to sub-30nm, the DC characteristics (such as read current and subthreshold slope (S.S.)) approach those of the bulk devices because sub-30 nm TFT devices often contain no grain boundaries. The memory window is also larger than the bulk planar devices due to the tri-gate structure that enhances the electric field during programming/erasing. However, a fair percentage of devices contain grain boundaries with poorer S.S. and gm. Interestingly, this only affects the DC characteristics but does not impact the memory window. Furthermore, grain boundaries do not increase the random telegraph noise. The most serious drawback of grain boundaries is the impact on self-boosting window caused by junction leakage. A sub-30 nm TFT BE-SONOS NAND device with MLC capability and good retention is demonstrated


symposium on vlsi technology | 2012

A highly pitch scalable 3D vertical gate (VG) NAND flash decoded by a novel self-aligned independently controlled double gate (IDG) string select transistor (SSL)

Chih-Ping Chen; Hang-Ting Lue; Kuo-Pin Chang; Yi-Hsuan Hsiao; Chih-Chang Hsieh; Shih-Hung Chen; Yen-Hao Shih; Kuang-Yeu Hsieh; Tahone Yang; Kuang-Chao Chen; Chih-Yuan Lu

Despite vertical stacking, the lateral scaling of 3D NAND Flash is critically important because otherwise >;16 stacking layers are needed to be cost competitive to 20nm 2D NAND. In this work, we propose a 3D vertical gate (VG) NAND using a self-aligned independently controlled double gate (IDG) string select transistor (SSL) decoding method. The IDG SSL provides excellent program inhibit and read selection without any penalty of cell size increase, making our 3D VG NAND cell as scalable as conventional 2D NAND. We present the worlds first <; 50nm (37.5nm) half-pitch 3D NAND. The BL decoding and page operation methods are illustrated in detail. This highly pitch scalable VG with IDG SSL approach provides a very cost competitive 3D NAND.


international electron devices meeting | 2005

A novel p-channel NAND-type flash memory with 2-bit/cell operation and high programming throughput (> 20 MB/sec)

Hang-Ting Lue; Szu-Yu Wang; Erh-Kun Lai; Min-Ta Wu; Ling-Wu Yang; Kuang-Chao Chen; Joseph Ku; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

A novel p-channel NAND-type non-volatile flash memory using nitride-trapping device is presented. The p-channel device is programmed by very efficient band-to-band tunneling hot electron (BBHE), and erased by self-converging channel hole tunneling. An ultra-thin bandgap engineered ONO tunneling dielectric as presented in H. T. Lue et al. (2005) is adopted to achieve efficient hole-tunneling erase at high electric field, but yet good data retention at low field. The operation of physically 2-bit/cell NAND-type architecture with depletion mode device (VT > 0) is illustrated. Excellent P/E cycling endurance, data retention and read disturb immunity are demonstrated. This new non-volatile p-channel memory device is capable of very high-programming throughput (> 20 MB/sec) suitable for data Flash application


symposium on vlsi technology | 2006

A Highly Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory

Erh-Kun Lai; Hang-Ting Lue; Yi-Hsuan Hsiao; Jung-Yu Hsieh; Shih-Chin Lee; C. Y. Lu; Szu-Yu Wang; Ling-Wu Yang; Kuang-Chao Chen; Jeng Gong; Kuang-Yeu Hsieh; Joseph Ku; Rich Liu; Chih-Yuan Lu

For the first time, a successful TFT NAND-type flash memory is demonstrated using a low thermal budget process suitable for stacking the memories. A TFT-SONOS device using bandgap engineered SONOS (BE-SONOS) (Lue, et al. 2005) with fully-depleted (FD) poly silicon (50 nm) channel and tri-gate P+-poly gate is integrated into a NAND array. Small devices (L/W=0.18/0.09 mum) with good DC performance are achieved, owing to the good control capability of the tri-gate FD structure. Successful NAND array functions are demonstrated, with more than 1 muA read current for a 16-string NAND array and good program disturb immunity. This new device also shows good endurance and data retention, and negligible read disturb. These results are very encouraging for future 3D flash memory


international electron devices meeting | 2007

A High-Speed BE-SONOS NAND Flash Utilizing the Field-Enhancement Effect of FinFET

Tzu-Hsuan Hsu; Hang-Ting Lue; Erh-Kun Lai; Jung-Yu Hsieh; Szu-Yu Wang; Ling-Wu Yang; Ya-Chin King; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

Theoretical calculation indicates that when the fin width is comparable to the EOT of the ONO, the bottom oxide electric field around the fin tip is significantly increased, resulting in the enhanced program/erase efficiency. We also discover that the non-uniform injection along the fin changes DC characteristics (S.S. and gm) during program/erase, and the effective channel width of FinFET SONOS is only around the fin tip. We integrate BE-SONOS in a body-tied FinFET structure with a very small fin width (<20 nm), and demonstrate a high-speed NAND Flash (<20 musec programming time and <2 msec erasing time for a 5 V memory window). The present work provides not only physical insights into the operation mechanisms of FinFET SONOS-type devices, but also a new design method for high-speed NAND Flash.


international electron devices meeting | 2006

Reliability Model of Bandgap Engineered SONOS (BE-SONOS)

Hang-Ting Lue; Szu-Yu Wang; Yi-Hsuan Hsiao; Erh-Kun Lai; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

Reliability properties of bandgap engineered SONOS (BE-SONOS) (Lue et al., 2005) are extensively studied. First, the erase mechanism of BE-SONOS is confirmed as substrate hole tunneling through the ultra-thin ONO tunneling dielectric. Next, very long-term (>3,000 hours) high-temperature baking data (from 150 to 250degC) for various programmed/erased states and cycling history are collected and analyzed for a thorough understanding of the retention property. By transforming retention data (VFB-time) into de-trapping current (J) and modeling its dependence on electric field and temperature, the long-term retention of various programmed states are consistently and accurately predicted. This modeling technique avoids the ambiguity of the common Arrhenius plot, and is useful for developing other predictive models too. We have shown that BE-SONOS surpasses the 10-year 85degC storage criterion for Flash memory applications


international electron devices meeting | 2009

Understanding STI edge fringing field effect on the scaling of charge-trapping (CT) NAND Flash and modeling of incremental step pulse programming (ISPP)

Hang-Ting Lue; Tzu-Hsuan Hsu; Yi-Hsuan Hsiao; Sheng-Chih Lai; Erh-Kun Lai; Shih-Ping Hong; Ming-Tsung Wu; Fang-Hao Hsu; N. Z. Lien; C. Y. Lu; Szu-Yu Wang; Jung-Yu Hsieh; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

The impact of edge fringing field effect on charge-trapping (CT) NAND Flash with various STI structures (including near-planar, body-tied FinFET, self-aligned (SA) STI, and gate-all-around (GAA) devices) is extensively studied for a thorough understanding. First, we find that the edge fringing field can cause abnormal subthreshold current during programming. Careful well doping optimization is necessary to suppress the parasitic leakage path and avoid the abnormal subthreshold current behavior. Second, the edge fringing field effect significantly changes the P/E speed and degrades the incremental-step-pulse programming (ISPP) slope from ideal value (=1). The complexity of the edge fringing field cannot be modeled by simple 1D tunneling, and by using 3D simulation we found that the edge fringing field greatly degrades the tunnel oxide electric field especially after electrons are programmed into the channel. Moreover, because of edge fringing field effect more charge injection is required to obtain the same memory window when the device is scaled. We propose an analytical ISPP model. A field enhancement factor (FE) is introduced, and the FE gradually decreases with electron injection while Vt gets higher. Through this model the ISPP programming of various STI structures can be well understood. Finally, we find that the self-boosting program disturb window is proportional to the ISPP slope.


international electron devices meeting | 2007

Study of Local Trapping and STI Edge Effects on Charge-Trapping NAND Flash

Hang-Ting Lue; Tzu-Hsuan Hsu; Szu-Yu Wang; Yi-Hsuan Hsiao; Erh-Kun Lai; Ling-Wu Yang; Tahone Yang; Kuang-Chao Chen; Kuang-Yeu Hsieh; Rich Liu; Chih-Yuan Lu

Unlike the floating gate Flash device, charge-trapping (CT) devices store charges locally and are thus profoundly affected by non-uniform injection effect. The characteristics of a CT device are dominated by the local minimum-Vt region along the channel width. We have analyzed various STI structures including raised-STI, recessed-STI, and near-planar structures, and found that the program/erase characteristics are strongly impacted by the STI corner geometry due to local field enhancement (FE) and non-uniform injection effects. Moreover, both gm and S.S. vary during program/erase and thus increase programming/erasing complexity. The read disturb, program disturb, and retention characteristics are examined in detail. These conclusions apply to all CT devices.

Collaboration


Dive into the Kuang-Chao Chen's collaboration.

Top Co-Authors

Avatar

Chih-Yuan Lu

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Hang-Ting Lue

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Jung-Yu Hsieh

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Kuang-Yeu Hsieh

North Carolina State University

View shared research outputs
Top Co-Authors

Avatar

Szu-Yu Wang

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Erh-Kun Lai

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Tzu-Hsuan Hsu

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Jeng-Hwa Liao

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Pei-Ying Du

National Chiao Tung University

View shared research outputs
Researchain Logo
Decentralizing Knowledge