Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Christopher F. Robinson is active.

Publication


Featured researches published by Christopher F. Robinson.


26th Annual International Symposium on Microlithography | 2001

Image collapse issues in photoresist

John P. Simons; Dario L. Goldfarb; Marie Angelopoulos; Scott A. Messick; Wayne M. Moreau; Christopher F. Robinson; Juan J. de Pablo; Paul F. Nealey

The continued shrinkage of line widths below 150nm has not been without concerns of the mechanical stability of the resist structure. The development of the exposed resist undergoes many phase changes such as solid solubilization into a liquid followed by drying by volatilization. In the development of features especially with aspect ratio (AR) >3 and for lines/spaces (l/s)<1:2, the phase changes create interfacial tensions at the liquid/solid and gas/liquid/solid surfaces that can cause image collapse (IC). Aqueous based resist are particularly susceptible to collapse due to the high surface tension of the rinse water (72 mN/m). Two major steps to reduce or eliminate the IC are to strengthen the mechanical stability of the resist or to reduce the surface tension of the drying/rinse liquid. We have investigated various methods to reduce the surface tension of the water rinse either by lowering the surface tension of the rinse liquid or by removal of the rinse water prior to drying. Positive ArF and KrF resist and negative resist have been investigated. To reduce the surface tension of rinse liquid, the addition of surfactant followed by drying is a direct method which may be used. Indirectly, the prior removal of water by solvents or emulsification with inert components followed by drying in the supercritical fluid of CO2 can maintain high aspect ratio images at AR>3. Negative resist are more applicable to process as they can tolerate a wider variety of co- solvents of CO2 phillic surfactants.


Proceedings of SPIE | 2016

EUV patterning successes and frontiers

Nelson Felix; Dan Corliss; Karen Petrillo; Nicole Saulnier; Yongan Xu; Luciana Meli; Hao Tang; Anuja De Silva; Bassem Hamieh; Martin Burkhardt; Yann Mignot; Richard Johnson; Christopher F. Robinson; Mary Breton; Indira Seshadri; Derren Dunn; Stuart A. Sieg; Eric R. Miller; Genevieve Beique; Andre Labonte; Lei Sun; Geng Han; Erik Verduijn; Eunshoo Han; Bong Cheol Kim; Jongsu Kim; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer

The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.


Proceedings of SPIE | 2015

EUV mask cleans comparison of frontside and dual-sided concurrent cleaning

Lin Lee Cheong; Louis Kindt; Christina Turley; Dusty Leonhard; John Boyle; Christopher F. Robinson; Jed H. Rankin; Daniel Corliss

The cleaning requirements for EUV masks are more complex than optical masks due to the absence of available EUVcompatible pellicles. EUV masks must therefore be capable of undergoing more than 100 cleaning cycles with minimum impact to lithographic performance. EUV masks are created on substrates with 40 multilayers of silicon and molybdenum to form a Bragg reflector, capped with a 2.5nm-thick ruthenium layer and a tantalum-based absorber; during usage, both ruthenium and absorber are exposed to the cleaning process. The CrN layer on the backside is used to enable electrostatic clamping. This clamp side must also be free of particles that could impact printing and overlay, and particles could also potentially migrate to the frontside and create defects. Thus, the cleaning process must provide decent particle removal efficiencies on both front- and backside while maintaining reflectivity with minimal surface roughness change. In this paper, we report progress developing a concurrent patterned-side and clamped-side cleaning process that achieves minimal reflectivity change over 120 cleaning cycles, with XPS and EDS indicating the presence of ruthenium after 125 cleaning cycles. The change in surface roughness over 100 cleaning cycles is within the noise (0.0086nm) on a mask blank, and SEM inspection of 100nm and 200nm features on patterned masks after undergoing 100 cleaning cycles show no indications of ruthenium pitting or significant surface damage. This process was used on test masks to remove particles from both sides that would otherwise inhibit these masks from being used in the scanner.


Proceedings of SPIE | 2010

Overlay characterization and matching of immersion photoclusters

Blandine Minghetti; Timothy A. Brunner; Christopher F. Robinson; Christopher P. Ausschnitt; Dan Corliss; Nelson Felix

Many factors are driving a significant tightening of the overlay budget for advanced technology nodes, e.g. 6nm [mean + 3σ] for 22nm node. Exposure tools will be challenged to support this goal, even with tool dedication. However, tool dedication has adverse impact on cycle time reduction, line productivity and cost issues. There is a strong desire to have tool to tool (and chuck to chuck) matching performance, which supports the tight overlay budgets without tool dedication. In this paper we report improvements in overlay metrology test methods and analysis methods which support the needed exposure tool overlay capability.


Advances in resist technology and processing. Conference | 2005

Progress toward developing high performance immersion compatible materials and processes

Karen Petrillo; Kaushal S. Patel; Rex Chen; Wenjie Li; Ranee Kwong; Peggy Lawson; Rao Varanasi; Christopher F. Robinson; Steven J. Holmes; Dario Gil; Kurt R. Kimmel; Mark Slezak; Gary Dabbagh; Takashi Chiba; Tsutomu Shimokawa

To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Defect detection strategies and process partitioning for SE EUV patterning (Conference Presentation)

Luciana Meli; Karen Petrillo; Anuja De Silva; John C. Arnold; Nelson Felix; Christopher F. Robinson; Benjamin D. Briggs; Shravan Matham; Yann Mignot; Jeffrey Shearer; Bassem Hamieh; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer; Eric Liu; Ko Akiteru; Shinichiro Kawakami; Takeshi Shimoaoki; Yusaku Hashimoto; Hiroshi Ichinomiya; Akiko Kai; Koichiro Tanaka; Ankit Jain; Heungsoo Choi; Barry Saville; Chet Lenox

The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield. This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors .


Proceedings of SPIE | 2015

Towards production ready processing with a state-of-the-art EUV cluster

Karen Petrillo; Nicole Saulnier; Richard Johnson; Luciana Meli; Christopher F. Robinson; Chiew-seng Koay; Nelson Felix; Daniel Corliss; Matthew E. Colburn; Takashi Saito; Lior Huli; David Hetzer; Hiroie Matsumoto; Andrew Metz; Yudai Hira

EUV lithography is one of the main candidates for enabling the next generation of devices, primarily by enabling a lithography process that reduces complexity, and eventually, cost. IBM has installed the latest tool sets at the IBM EUV Center of Excellence in Albany to accelerate EUV lithography development for production use. Though the EUV cluster is capable of enabling the pitch requirements for the 7nm node, the dimensions in question represent a new regime in defectivity. Additionally, new classes of patterning materials are being explored, for which there is very little known up-front regarding known defect mechanisms. We will discuss the baseline cluster performance and the improvement strategy in terms of defectivity and pattern collapse in this paper by utilizing coater/developer techniques based on the new platform.


Proceedings of SPIE | 2011

Qualification, monitoring, and integration into a production environment of the world's first fully programmable illuminator

Gregory McIntyre; Daniel Corliss; Remco Jochem Sebastiaan Groenendijk; Rene Carpaij; Ton van Niftrik; Guillaume Landie; Takao Tamura; Thomas Pepin; James Waddell; Jerry Woods; Christopher F. Robinson; Kehan Tian; Richard Johnson; Scott Halle; Ryoung-Han Kim; Erin Mclellan; Hirokazu Kato; Anthony Francis Scaduto; Carl Maier; Matt Colburn

This paper will describe the development, qualification, monitoring, and integration into a production environment of the worlds first fully programmable illuminator for optical lithography. FlexRay TM, a programmable illuminator based on a MEMs multi-mirror array that was developed for TWINSCAN XT:19x0i and TWINSCAN NXT series ASML immersion scanners, was first installed in January 2010 at Albany Nanotech, with subsequent tools installed in IBMs East Fishkill Manufacturing facility. After a brief overview of the concept and benefits of FlexRay, this paper will provide a comprehensive assessment of its reliability and imaging performance. A CD-based pupil qualification (CDPQ) procedure will be introduced and shown to be an efficient and effective way to monitor pupil performance. Various CDPQ and in-resist measurement results will be described, offering convincing evidence that FlexRay reliably generates high-quality pupils and is well suited for high volume manufacturing at lithographys leading edge.


Proceedings of SPIE | 2007

Building an immersion topcoat from the ground up: materials perspective

Mahmoud Khojasteh; Irene Popova; P. Rao Varanasi; Linda K. Sundberg; Christopher F. Robinson; Daniel Corliss; Margaret C. Lawson; Gary Dabbagh; Mark Slezak; Matthew E. Colburn; Karen Petrillo

Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless resist approach is a desirable final stage of the immersion process development. However creating low-defect high performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer, responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect scanning. While a lot of progress has been made in implementing specific top coat materials into the process flow, clear understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This paper will discuss top coat materials design, properties and functional characteristics in application to novel fluoroalcohol polymer-based immersion top coat. We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a test vehicle for establishing correlations between top coat performance and its physical and chemical properties including hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and characteristics of the top coat material are explored, providing valuable understanding transferable to design of new generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic performance, profiles and low leaching levels with commercially available resist and high receding contact angles, comparable to the commercial top coat materials.


MRS Proceedings | 1999

A New High Performance CA Resist for E-beam Lithography

Ranee Kwong; Wu-Song Huang; Wayne M. Moreau; Robert Lang; Christopher F. Robinson; David R. Medeiros; Ari Aviram; Richard C. Guarnieri; Marie Angelopoulos

Three major lithographic applications have emerged for electron beam exposure tools: optical mask fabrication, direct writing for device fabrication, and more recently projection e-beam printing. The traditional mask making process uses poly(butenesulfone) resist. A wet etch process was adopted to generate patterns on chrome. Recently, shrinking dimensions, optical proximity correction features, and the complexity of phase shift masks have forced the industry to a chrome dry etch process. ZEP, a poly(methyl α-chloroacrylate-co-α-methylstyrene) based resist, has been well accepted for most of the >180 nm device mask making. The acceptance of ZEP comes in spite of its low contrast, marginal etch resistance, organic solvent development, and concerns of resist heating associated with its high dose requirements. These issues have spawned interest in using chemically amplified resist (CAR) systems for direct write and mask making applications. We have developed a high contrast resist based on ketal protecting groups, KRS-XE, which is robust against airborne contamination and can be used for all forms of e-beam exposure in both chrome mask and silicon processing. This high contrast resist is processed with aqueous base developer and has a wide bake latitude. The development of KRS-XE has provided the capability of fabricating chrome masks for future generation (

Researchain Logo
Decentralizing Knowledge