Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Lee-Ju Kim is active.

Publication


Featured researches published by Lee-Ju Kim.


Photomask and Next-Generation Lithography Mask Technology XI | 2004

Investigations on microloading effect: a parallel approach to PGSD (proximity gap suction development)

Daniel Courboin; Jong Woo Choi; Sang Hyun Jung; Seung Hee Baek; Lee-Ju Kim; Chang Nam Ahn; Hong-Seok Kim

The move towards smaller feature size continuously requires more accurate lithography models. Part of models improvement comes from a better understanding of involved physics and chemistry. State of the art models assume development rate to be dependent on level of de-protection of resist film while development kinetics is not taken into account. Model refinements consist in getting a good model of development rate versus de-protection level. Recent studies have put in evidence the importance and the influence of development kinetics. Based on this, a new development process concept has been developed: the Proximity Gap Suction Development (PGSD). This paper presents a parallel approach to PGSD using megasonic agitation in order to improve development process understanding. Analysis has been performed by focusing on microloading effect characterization, also taking into account Critical Dimension (CD) linearity, CD iso-dense bias. Interpretation and analysis were achieved through use of DOE techniques. Results are then discussed with respect to previous PGSD studies but also to current development models. It is believed that improvement of development process could be also achieved in wafer making through the use of high flow rate development techniques such as PGSD or megasonic development.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

CD Performance Evaluation According to Advanced Marking Parameter

Suk-Ky Yoon; Seung-Yun Kim; Kyoeong-Mee Yeon; Chan Lim; Sung-Jin Choi; Ja-Hwa Kim; Lee-Ju Kim; Young-Rok Cho; Hong-Seok Kim

There is no objection that Mean to Target (MTT) and Uniformity of CD (Critical Dimension) are the most important parameters to confirm the quality of mask. So process engineer try to improve these value and metrology engineer have been struggled to provide the accurate and repeatable CD information. One of method for this goal is auto-measurement with job from pattern design. Auto-measurement helps to remove error from human and improve reproducibility of CD. The recent tools are capable to interface with marking generation program so we can measure with auto-marked job without any manual measurement. However, the enhancement design rule of lithography requests the smaller CD size and more complicated pattern layout. These worst factors can be brought about inaccurate CD result due to wrong measurement job from auto-marking program. Therefore metrology engineer have to consider more optimized auto-measurement methodology as well as to use the most advanced measurement tool. In this paper, we are focused on the CD measurement methodology which is the optimized measurement condition according to pattern shape by using the advanced marking parameter when generate auto-measurement job. In order to find the best condition, we designed test patterns containing OPC (Optical Proximity Correction) and printed on the plate. And we made two types of auto-measurement jobs. One is applied with the advanced marking parameters and the other is applied with the normal marking parameters. We measured the plate with these two jobs for each test item on LWM9000. Finally, we compared results from advanced and normal parameter and calculate how much CD can be different for each test item. Through the test result, we can find the best measurement parameter for each kinds of pattern and get more accurate CD result.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Characteristics of RIE lag and pattern density effect in alternating aperture phase shift masks

Byung-Soo Chang; Yoon-Young Chang; Hyun-Suk Bang; In-Soo Lee; Lee-Ju Kim; Chang-Nam Ahn; Hong-Suk Kim

As the feature size decreases to 90nm and 65nm, the role of phase shift mask as a RET method becomes more and more important. Although alternating PSM has been one of the possible methods to improve the resolution, however, the difficulty of mask manufacturing prevent us from adopting the technology. One of the main issues is microloading effect including RIE lag, pattern density effect that cause especially the imbalance of phase shifting due to the etch depth difference in the patterns with different CD size and different pitch as the feature size downs to subhalf micron. This leads to the space CD difference with the DOF variation in the wafer image. In this paper, characteristics of RIE lag and other phenomenon were evaluated with the DOE method that included such parameters: source power, bias power and pressure. Etch depth difference was confirmed with AFM measurement and calculated to phase shift angle. Results were analyzed with statistical method and major effects and interaction effects were found.


Photomask and next-generation lithography mask technology. Conference | 2003

Investigation on micro-trench formation of alternating aperture phase shift masks

Sung-Won Kwon; Heong-Sup Jeong; Lee-Ju Kim; Chang-Nam Ahn; Hong-Seok Kim

Phase Shift Masks (PSMs) have been widely used in the photomask industry for nowadays. Among several types of PSMs, Alternating Aperture PSM (AAPSM) allows for better resolution within other advantages. This paper deals with micro-trench formation during quartz etching. Micro-trench can produce distortion of the light intensity and lead unwanted results on wafer. Several experiments are performed with respective etch conditions; fluorine (F) gas species, gas flow rates, bias powers, and substrate temperatures while other conditions are fixed. Quartz etching is processed with Inductively Coupled Plasma (ICP) system. Etched morphologies are observed by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), and a surface profiler to select the best condition as functions of etch parameters. Results show that bias power is the most important factors to decide quartz surface morphologies. Finally, mask image is simulated by AIMS system under given condition.


21st Annual BACUS Symposium on Photomask Technology | 2002

Zep process optimization for submicron reticle fabrication in high-acceleration voltage writing tool

Junsik S. Cho; Lee-Ju Kim; Cheol Shin

Not available


Photomask and next-generation lithography mask technology. Conference | 2001

Enhanced capability improvement using OPC pattern generation at Laser lithography

In-Soo Lee; Kyung-Han Nam; Lee-Ju Kim; Cheol Shin; Hong-Seok Kim

We have developed next generation photmasks with a variety of method and approach including new material, machines and technology. Because enhanced resolution and pattern fidelity are required rapidly in photomask for coping with further advances in optical lithography. Specially Laser Lithography, Alta3500 has low butting error, fast throughput and registration but has a weak point as corner rounding, CD linearity and ID bias against minimum MEEF (Mask Error Enhancement Factor) acquisition and enhanced pattern printability. But we can not help feeling much cost burden and worse productivity problem in the process of advanced photomasks development. Therefore we have to always consider low cost under high precision technology with current machine and material. We need to extend Alta3500 productivity for advanced device and a variety of device types. So we approached Optical Proximity Correction (OPC) among several candidates for helping that kinds of requirement. And we selected Rule Based OPC for helping fast application of correction rule and low mask complexity. We evaluated mainly Serif and Jog pattern for acquiring corner rounding, CD linearity and ID bias improvement at Alta3500. And we made a conclusion that Alta3500 can extend to 0.15(mu) Logic fabrication with implementation of OPC pattern generation. In this paper, details of OPC effects and application for 0.15micrometers devices will be further discussed.


Photomask and next-generation lithography mask technology. Conference | 2001

Impact of ArF attenuated PSM using multishifter layer (TiN/Si3N4) for next-generation lithography

Kyung-Han Nam; Lee-Ju Kim; Hyoung-Sup Jeong; Sang Woon Lee; In-Soo Lee; Cheol Shin; Hong-Seok Kim; L. Dieu; Seung-Weon Paek; Sang-Sool Koo; Sang-Man Bae; Young-Mog Ham; Ki-Soo Shin

ArF lithography that is expected the candidate for next generation optical lithography and attenuated Phase Shift Mask (att-PSM) will be adapted for 0.12micrometers design-rule and beyond. For the next-generation lithography, the most important requirement for mask process is enough resolution and good pattern fidelity to generate various critical patterns, of which sizes are below 0.5micrometers main pattern including OPC patterns. In this paper we describe in terms of blank mask properties, mask making process and wafer performance of ArF attenuated Phase Shift Mask (att-OSM) using TiN/Si3N4(abbreviated as TiN/SiN) multi-layer for Next Generation Lithography (NGL). In view point of material, we have evaluated for the applicability of TiN/SiN multi-layer to ArF lithography as compared with non- stoichiometric MoSiON-based single-layer structure. In mask making process, we used Chemically Amplified Resist (CAR) process characteristics and Dry etching system for improvement of enough resolution and pattern fidelity. Also we have investigated wafer performance for ArF att-PSM in terms of process windows as compared with BIM (Binary Intensity Mask) in 120nm D/R real cell pattern and 100nm L/S(Line and Space)D/R pattern, respectively.


20th Annual BACUS Symposium on Photomask Technology | 2001

Potentialities of sub-100-nm optical lithography of alternating and phase-edge phase-shift mask for ArF lithography

Sang-Sool Koo; Hee-Bom Kim; Hyoung-Soon Yune; Jee-Suk Hong; Seung-Weon Paek; Tae-Seung Eom; Chang-Nam Ahn; Young-Mog Ham; Ki-Ho Baik; Kyu-Yong Lee; Lee-Ju Kim; Hong-Seok Kim

The patterning potentialities of sub-100nm pattern for ArF lithography was evaluated with conventional alternating PSM (alt-PSM) for dense lines and spaces (L/S) and phase edge PSM (PE-PSM) for isolated lines of memory device. In dense L/S pattern,110nm pattern was defined with relatively small depth of focus(DOF) window(~ 0.2 ?m) due to phase error of mask. As pattern sizes was changed from 130nm to 200nm, critical dimension (CD) difference between two neighboring spaces was varied and it was assumed that micro loading effect was occurred in Qz etching. The linearity was guaranteed to dense L/S of 110nm and isolated line of 90nm, and Iso-Dense bias was controlled within 15nm. The 60nm and 70nm isolated lines of PE-PSM ware defined with good process windows in the case of OA_X size(X-direction size of Cr open area) of 0.5 ?m. The 55nm isolated line was also defined. The pattern shift of isolated lines was occurred with 4~7nm as phase of mask was varies within 190 ~ 200 ° . Though the alt-PSM with high numerical aperture (NA) for ArF lithography was strong candidates for sub-1 OOnm lithography of memory device, the issues of mask fabrication such as tighter phase control and minimizing etch loading effect would be big obstacles. On the contrary, there were many possibilities of sub-100nm patterning in PE-PSM with good process windows, however tighter control of pattern shift due to phase error must be studied intensively.


Photomask and next-generation lithography mask technology. Conference | 2000

Improvement of defect density for DUV halftone PSM

Kyu-Yong Lee; Lee-Ju Kim; Kyeong-Mee Yeon; Sang Woon Lee; Hong-Seok Kim

As the required minimum feature size is rapidly down to sub- micron at photomask, the fabrication of alternate lithography techniques to extend and prolong current technology becomes critical important. So recently MoSi PSM are being applied in semiconductor lithography, primarily for high density layers such as found in memory chips. The increasing use of MoSi based attenuated phase shift masks necessitates defect reducing on the MoSi phase shift mask reticles. In this paper, in order to reduce the defect on the phase shift mask reticles, we will discuss the results of several experiments, utilizing CHF3/)2 gas mixture and SF6/He gas mixture chemistries and various process sequences. This paper reports the results of defect reducing for MoSi attenuated phase shifting masks using an inductively coupled plasma system.


Photomask and X-Ray Mask Technology VI | 1999

ICP (inductively coupled plasma) dry etch of DUV MoSi HTPSM

Kyu-Yong Lee; Lee-Ju Kim; Kyung-Han Nam; Keuntaek Park; Y. M. Ku; S. S. Ku; Ikboum Hur

Attenuated phase shift mask (PSM) have gained wide acceptance in the manufacturing environment during last few years with the advantage of improving DOF margin. However, etching attenuated phase shift film remains a challengeable process that affects several critical mask parameters including critical dimension, side slope and surface damage. In order to select the proper gas mixture condition, we will discuss the results of several experiments, utilizing CHF3 gas mixture, CF4/He/O2 gas mixture and SF6/He gas mixture chemistries. This paper reports the results of etching MoSi attenuated phase shifting materials using an inductively coupled plasma (ICP) system. Qz surface damage and trench for contact pattern is also reviewed as well as performance among those different gas mixture.

Collaboration


Dive into the Lee-Ju Kim's collaboration.

Top Co-Authors

Avatar

Ki-Ho Baik

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Chang-Nam Ahn

Seoul National University

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge