Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Young-Mog Ham is active.

Publication


Featured researches published by Young-Mog Ham.


20th Annual BACUS Symposium on Photomask Technology | 2001

Sub-120-nm technology compatibility of attenuated phase-shift mask in KrF and ArF lithography

Young-Mog Ham; Seo-Min Kim; Sang-Jin Kim; Sang-Man Bae; Young-Deuk Kim; Ki-Ho Baik

This paper describes that attenuated phase shift masks (APSM) improve process margin compared to binary mask (BIM) in KrF and ArF lithography. We present the real problems to occur in the mask fabrication, process and mask error factor (MEF). As a result, sub-120nm cell patterns were delineated with 8% exposure latitude (EL) and ∼0.6 μm local depth of focus (LDOF) using 0.70NA KrF and APSM. The performance of ArF lithography (NA=0.63) shows the similar process margin with 10% EL and ∼0.6 μm LDOF. Using APSM, we could obtain 14.4% EL and ∼0.6 μm LDOF. We obtained process enhancement of 30% by using APSM. However, process instability is analyzed in a viewpoint of mask making and process issue such as mask fabrication capability, CD uniformity, and MEF. In simulation and experiment, 0.63NA ArF lithography shows resolution improvement compared to 0.70NA KrF. It is possible to obtain 100nm pattern using ArF and APSM. Also, one of common issues is to reduce the MEF, which is decided by exposure and resist process condition. MEF is increased to about 4 or more in the sub-120nm range. This effect has influence on CD uniformity and EL margin. Reducing the MEF on the wafer, we have to optimize exposure tool, process, and mask. Shorter wavelength and APSM are one of candidates to minimize MEF. Therefore, ArF APSM is looking forward to high performance lithography.


Japanese Journal of Applied Physics | 2000

Optimization of Dipole Off-Axis Illumination by 1st-Order Efficiency Method for Sub-120 nm Node with KrF Lithography

Seo-Min Kim; Sang-Jin Kim; Chang-Jin Bang; Young-Mog Ham; Ki-Ho Baik

To extend the limitation of KrF lithography into the 110 nm design rule region, dipole off-axis illumination (OAI) is suggested. We have investigated the availability of the 1st-order efficiency as a method of optimization and confirmed it in the conventional OAI. By the 1st-order-efficiency method, we have designed two dipole apertures that are capable of resolving horizontal and vertical dense patterns, and have evaluated the basic performance of the modified dipole apertures. To verify the applicability of the modified dipole apertures to memory devices, we tested a variety of patterns and obtained fine patterns with the help of optical proximity correction (OPC). In this study, we found that the optimized dipole OAI can serve as an extension method of KrF lithography for 110 nm devices.


Photomask and next-generation lithography mask technology. Conference | 2001

Quantitative analysis of mask error effect on wafer CD variation in ArF lithography

Sang-Jin Kim; Sang-Sool Koo; Seo-Min Kim; Chang-Nam Ahn; Young-Mog Ham; Ki-Soo Shin

This paper describes the effect of the mask errors such as mask critical dimension (CD) variation, phase and transmission error of attenuated phase shifting mask (att-PSM) on wafer CD in ArF lithography and also analyzes these errors quantitatively. Mask CD requirement using ELF and MEF is estimated firstly and mask CD should be controlled within about 7nm assuming O.7ONA ArF system with 1% illumination uniformity. Transmission error induces larger CD variation than phase error. However, phase error should be considered otherwise in that it reduces depth of focus (DOF). To control DOF degradation less than 10% in case of O.l4um and O.l6um isolated contact hole(C/H), the phase should be controlled within the range of Considering O.l4um isolated contact hole, transmission error of occupies 10% of CD tolerance. Finally, the budget of these factors are calculated in view of total wafer CD variation quantitatively except lens aberration, resist process, and etc. To reduce wafer CD variation, we should control mask CD more tightly.


international microprocesses and nanotechnology conference | 1998

Application Of New Empirical Model To The Electron Beam Lithography Process With Chemically Amplified Resists

Young-Mog Ham; Cheol Hur; Chang-Nam Ahn; Ki-Ho Baik

We study on the simulation models and characteristics of a highly sensitive chemically amplified resist (CAR), which is used in the fabrication of deep submicron pattern delineation. The main aim of this model is to express the positive and negative CAR by using similar principles in acid generation, reaction and diffusion, reaction mechanism, and development. Profile simulation uses the fitted parameters. Therefore, resist pattern shapes are in good agreement with the experimental ones. Simulation accuracy is 1.04% and 7.5%, for resist wall angle and critical dimension (CD) of CAR respectively. We also verify that components and properties of CAR determine the resist profile and process margin. Using this model, process feasibility of electron beam lithography is predicted, and accurate simulation is possible in the deep submicron region.


26th Annual International Symposium on Microlithography | 2001

Feasibility study on the ArF attenuated phase-shift mask for 100-nm node lithography

Sang-Sool Koo; Sang-Jin Kim; Seung-Weon Paek; Chang-Nam Ahn; Young-Mog Ham; Ki-Soo Shin

This paper describes the feasibility of lOOnm-node lithography using ArF lithography and att-PSM (aUenuated Phase Shift Mask). In the simulation approach, we can find that att-PSM can improve EL window more than 25%compared to BIM (Binary Intensity Mask) in both KrF and ArF lithography. Although the MEF (Mask Error Factor) values of att-PSM and BIM are almost same even in a higher NA region, the total CD variation of aU-PSM is slightly lower than that of BIM because of the increase effect of EL window. Considering the total CD variation, it is necessary to use the ArF lithography machine with higher NA of more than O.7ONA for lOOnm patterning. In the real patterning performance, the ArF lithography and att-PSM can improve EL windows more than 60% in comparison with KrF lithography and att-PSM for sub-l2Onm cell patterns. The case of att-PSM and annular aperture condition, especially small ring width annular condition shows the increasing effect ofprocess windows compared to BIM for lOOnm L/S patterns. For the direct C/H printing below l2Onm feature, we can get about 9% EL window in the case of l2Onm C/H feature. Although we have some technical issues for lOOnm lithography such as the controllability of MEF and EL window extension, the lens quality enhancement for the higher NA and manufacturing defects of att-PSM, etc., there is a sufficient feasibility to obtain lOOnm-node pattern with ArF lithography and att-PSM.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Comparison study for sub-150-nm DUV lithography between high-NA KrF and ArF lithography

Donggyu Yim; Ki-Sung Kwon; Young-Mog Ham; Ki-Ho Baik

Critical issues for 150nm DUV lithography using 0.70 NA KrF and 0.60 NA ArF had been investigated. Aberration, coherence variation, mask error effect, and process margin for each case had been analyzed. Current projection lens and illumination optics are getting better and better. However, for 150nm lithography using high NA scanner, a large portion of beam should be traced on the outside of lens pupil and traced on the resist surface with a large incident angle. In such a case, lens aberration effect was observed on field edge, even though strehl ratio of projection lens and coherence variation on field edge had been improved. These effects had been investigated. One other critical issue in high NA scanner is depth of focus. The common depth of focus between dense and isolated patterns in real process using high NA scanner is mainly affected by photoresist thickness. That issue had been also investigated. Another important issue for 150nm DUV lithography is mask error effect. In the case of same design rule, lower (lambda) /NA lens is more favored than higher (lambda) /NA. Mask error issue in high NA KrF and ArF was also analyzed. Total comparisons between high NA KrF and 0.60 NA ArF have been discussed.


21st Annual BACUS Symposium on Photomask Technology | 2002

Impact on wafer process of sub-120-nm design rule mask

Young-Mog Ham; Sang-Sool Koo; Sang-Jin Kim; Won-Kwang Ma; Ki-Soo Shin

Optical lithography makes various problems in the low k1 range due to high MEF and low process margin. This has an impact upon CD variation, pattern collapse, pattern thinning, and undesirable repeating defect throughout the wafer process. Moreover, it is difficult to understand main factor that affected process problems. In this paper, mainly we study impacts on wafer process using 120nm design rule mask. Experimentally, w use full field mask composed of DRAM structure with cell array and periphery patterns. 0.70 NA KrF exposure tool and APSM are used to get high process margin and good pattern fidelity. As a result, we got about 10 percent EL and 0.5 micrometers DOF or more in actual process. Also CD variation was controlled within 15nm using CMP and BARC. However, mask CD variation was amplified on wafer, especially wafer CD variation was very serious in the edge of cell array by optical proximity effect, stand wave effect, and mask. Patterning and etching process occurred line thinning, and it was inspected as repeating defect. TO get optimum process result, it was very important to control mask CD and wafer CD within process window after mask CD correlation. We could find that mask or wafer process have an influence on unexpected problem for 120nm process with low k1 value.


international microprocesses and nanotechnology conference | 2001

The double exposure strategy using OPC and simulation and the performance on wafer with sub-0.10 /spl mu/m design rule in ArF lithography

Seyoung Oh; Wan-Ho Kim; Hyoung-Soon Yune; Hee-Bom Kim; Seo-Min Kim; Chang-Nam Ahn; Young-Mog Ham; Ki-Soo Shin

Lately, photolithography is seen as the bottleneck to sub-0.1 /spl mu/m patterning. Namely, the miniaturization of the design rule pushes the pattern sizes in the peripheral region as well as in the cell region into the resolution limit of exposure tools. Although it is common to use single exposure for lithographic layer formation, an ArF double exposure technique (DET) strategy, based on manual OPC and an in-house simulation tool, HOST (Hynix OPC simulation tool), is suggested as a possible exposure method for overcoming the limit and its results on wafer are shown. The in-house simulation tool used in this paper can predict the wafer pattern and process margin of a lithographic layer and shows good validity in the ArF process.


Photomask and next-generation lithography mask technology. Conference | 2001

Impact of ArF attenuated PSM using multishifter layer (TiN/Si3N4) for next-generation lithography

Kyung-Han Nam; Lee-Ju Kim; Hyoung-Sup Jeong; Sang Woon Lee; In-Soo Lee; Cheol Shin; Hong-Seok Kim; L. Dieu; Seung-Weon Paek; Sang-Sool Koo; Sang-Man Bae; Young-Mog Ham; Ki-Soo Shin

ArF lithography that is expected the candidate for next generation optical lithography and attenuated Phase Shift Mask (att-PSM) will be adapted for 0.12micrometers design-rule and beyond. For the next-generation lithography, the most important requirement for mask process is enough resolution and good pattern fidelity to generate various critical patterns, of which sizes are below 0.5micrometers main pattern including OPC patterns. In this paper we describe in terms of blank mask properties, mask making process and wafer performance of ArF attenuated Phase Shift Mask (att-OSM) using TiN/Si3N4(abbreviated as TiN/SiN) multi-layer for Next Generation Lithography (NGL). In view point of material, we have evaluated for the applicability of TiN/SiN multi-layer to ArF lithography as compared with non- stoichiometric MoSiON-based single-layer structure. In mask making process, we used Chemically Amplified Resist (CAR) process characteristics and Dry etching system for improvement of enough resolution and pattern fidelity. Also we have investigated wafer performance for ArF att-PSM in terms of process windows as compared with BIM (Binary Intensity Mask) in 120nm D/R real cell pattern and 100nm L/S(Line and Space)D/R pattern, respectively.


26th Annual International Symposium on Microlithography | 2001

Application of full-chip level optical proximity correction to memory device with sub-0.10-μm design rule and ArF lithography

Hyoung-Soon Yune; Hee-Bom Kim; Wan-Ho Kim; Chang-Nam Ahn; Young-Mog Ham; Ki-Soo Shin

Recently, the miniaturization of the design rule pushes the pattern sizes in the peripheral region as well as cell region to the resolution limit of exposure tools. Therefore it is necessary to apply optical proximity correction (OPC) not only to the patterns in cell region but also to those in peripheral region. It is impossible to apply manual OPC method in peripheral region. Because the peripheral region is composed of random patterns with large data volume, and it takes too long execution time with manual OPC. For random pattern OPC in peripheral region, automatic OPC tool is required. Now for the automatic OPC tool, model-based and rule-based methods are developed for the commercial use. In this paper, the effectively applicable process is discussed using model-based method in automatic OPC at the sub-0.10 micrometer design rule in ArF lithography. For the application of automatic OPC tool at the design rule of sub-0.10 micrometer and ArF process in memory devices the following problem should be cleared. In small size of design rule, we should consider not only pattern fidelity but also process margin such as depth of focus (DOF) and exposure latitude (EL) at the cell OPC. But automatic OPC tool is insufficient to be applied for cell region OPC, because it considers not process margin but pattern fidelity and it has low accuracy using much approximation model to reduce layout correction time. To solve this problem, we suggest a full chip OPC process using both automatic OPC tool and the manual OPC method using the novel lithography simulation model (Diffused Aerial Image Model, DAIM). DAIM is available to predict wafer pattern and process margin of cell, its accuracy is verified in ArF process as in KrF process. We could see small standard deviation error between experiment and DAIM in ArF process using various line or space patterns, which is about 9 nm at binary intensity mask (BIM). So the manual OPC with DAIM resulted in the wide process margin and good pattern fidelity overcoming the limitation of automatic OPC tool. However it is necessary to correlate energy level of DAIM for cell region OPC with that of the model in the automatic OPC tool for peripheral region OPC, because cell and peripheral region are exposed with the same exposure dose in stepper or scanner. In case of ArF process, we could see the small difference of energy level and standard deviation error, which is about 1.4%, 2 nm at BIM and 6.3%, 3 nm at half-tone phase shift mask (PSM), between DAIM and automatic OPC tool. As the result of using DAIM and automatic OPC tool simultaneously at full chip OPC, we could see improved results from cell to peripheral region at the sub-0.10 micrometer design rule in ArF lithography.

Collaboration


Dive into the Young-Mog Ham's collaboration.

Top Co-Authors

Avatar

Ki-Ho Baik

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Chang-Nam Ahn

Seoul National University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge