Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chang-Nam Ahn is active.

Publication


Featured researches published by Chang-Nam Ahn.


SPIE's 1995 Symposium on Microlithography | 1995

Study of optical proximity effects using off-axis illumination with attenuated phase shift mask

Chang-Nam Ahn; Ki-Ho Baik; Yong-Suk Lee; Hung-Eil Kim; Ikboum Hur; Young Sik Kim; Kim Jy; Soo-Han Choi

The global proximity effects of densed line, semi-isolated line are studied for conventional illumination, off-axis illumination, and finally off-axis illumination in combination with attenuated phase shift masks which have transmittance of 4% and 8%, respectively, by experiments and simulations. To analyze the behavior of proximity effects, the lithographic performances of the super resolution technique are investigated comparing the cross-sectional view of resist pattern profile, useful depth of focus, and the curves of linewidth vs. defocus for 0.30 micrometers , 0.35 micrometers , and 0.40 micrometers pattern size, respectively. The global proximity effect is quantitatively analyzed by fitting the curve for densed line and isolated line to 2nd order polynomials. Off- axis illumination with attenuated phase shift mask is very effective to minimize the proximity effects for the pattern size less than 0.40 micrometers , and have useful depth of focus of 1.0 micrometers for 0.30 micrometers patterns.


SPIE's 1995 Symposium on Microlithography | 1995

Effect of pattern density for contact windows in an attenuated phase shift mask

Ikboum Hur; Ju-Hwan Kim; Il-Ho Lee; Hung-Eil Kim; Chang-Nam Ahn; Ki-Ho Baik; Soo-Han Choi

An attenuated phase shift mask (PSM) is the most promising candidate for the high volume production lithography process among the various PSM types. It has been shown that attenuated PSM improves the lithographic performance such as depth of focus, especially in contact window by its edge enhancement. In this paper, the side lobe effect that restricts the lithographic performance of attenuated PSM and the light intensity distribution have been examined on changing the pattern density and the transmittance by experimental and simulation. The side lobe effect caused by proximity effect is very severe when pitch sizes are in the range of 0.7-0.9 micrometers for 0.35-0.45 micrometers contact hole on mask and it is enlarged by defocus exposure condition. The side lobe effect in this range of pitch size may forms the additional pattern in wafer, which restricts the application of attenuated PSM. The side lobe effect can be removed by additional pattern positioning at the center of four contact hole patterns, but simulation result of Exposure-Defocus tree (E-D tree) shows that lithographic performance of attenuated PSM is decreased by an auxiliary pattern. In the application of attenuated PSM in dense pattern, the relation between performance and side lobe effect is mutually contradictory.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Exposure latitude analysis for dense line and space patterns by using diffused aerial image model

Chang-Nam Ahn; Hee-Bom Kim; Ki-Ho Baik

Ultimate limitation of lithography has been studied by using the diffused aerial image model (DAIM). Assuming that only the 0th and 1st order diffraction beams in the off-axis illumination technique contribute to the resist patterns, aerial image is calculated for dense line and space patterns. And then DAIM is applied to achieve final image. By using this diffused aerial image, exposure latitude and mask error effect can be analyzed quantitatively. In the case of perfect image, which can be achieved from, for example, diffraction free x-ray lithography or electron beam lithography without Coulomb repulsion and back scattering effect, same approaches are possible to get the exposure latitude and mask error effect. Under the validation of DAIM, most important parameter, which characterizes dense L/S patterns, is the diffusion length of acid. In order to realize sub-o.1 micrometers pattern with enough process margins, it is required to enlarge exposure latitude and to reduce mask error effect. Therefore, reducing h acid diffusion length of chemical amplification resist (CAR) or new conceptual resist instead of CAR will be needed for sub-0.1 micrometers era.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Accuracy of diffused aerial image model for full-chip-level optical proximity correction

Jee-Suk Hong; Hee-Bom Kim; Hyoung-Soon Yune; Chang-Nam Ahn; Youngmo Koo; Ki-Ho Baik

Recently, the miniaturization of the design rule of memory devices pushes the minimum feature sizes down to sub- wavelengths of the exposure tools. The design of a memory device comprises not only the dense patterns with critical small size in the cell region but also the random patterns in the peripheral region; the latter also need sub- wavelength lithography technology as well as the former. And the optical proximity correction (OPC) has been strongly required for the random patterns in the peripheral region where the same energy is exposed as in the cell region. Therefore, the high accuracy of simulation model used in the OPC is necessary for the full chip OPC tools. However traditional aerial image simulation has a limitation to the application due to its lack of accuracy because it does not take into account a resist process. We introduced novel lithography simulation model in 1998, which describes resist process by diffusion and chemically amplification function.


international microprocesses and nanotechnology conference | 1998

Application Of New Empirical Model To The Electron Beam Lithography Process With Chemically Amplified Resists

Young-Mog Ham; Cheol Hur; Chang-Nam Ahn; Ki-Ho Baik

We study on the simulation models and characteristics of a highly sensitive chemically amplified resist (CAR), which is used in the fabrication of deep submicron pattern delineation. The main aim of this model is to express the positive and negative CAR by using similar principles in acid generation, reaction and diffusion, reaction mechanism, and development. Profile simulation uses the fitted parameters. Therefore, resist pattern shapes are in good agreement with the experimental ones. Simulation accuracy is 1.04% and 7.5%, for resist wall angle and critical dimension (CD) of CAR respectively. We also verify that components and properties of CAR determine the resist profile and process margin. Using this model, process feasibility of electron beam lithography is predicted, and accurate simulation is possible in the deep submicron region.


Journal of Vacuum Science & Technology B | 1996

Study on elliptical polarization illumination effects for microlithography

Seong‐Ho Jeon; Bae‐Doo Cho; Kyeong‐Woon Lee; Sungmuk Lee; Ki-Ho Baik; Chang-Nam Ahn; Donggyu Yim

The vector image code (VIC) consisting of the vector transmission cross coefficient (TCC) and Fourier transform of the mask function is widely applied to simulate the effects of polarization in microlithography. Until now studies were restricted to the effects of linear polarization. This linear polarization illumination predicts a larger contrast gap between TE polarization and TM polarization as the numerical aperture increases or the critical dimension decreases. In this article, we have studied the effects of elliptical polarization illumination (EPI) as a new method in order to decrease these contrast gaps. We improved VIC by adopting EPI including circular polarization. As a result of using the improved VIC, EPI shows that the contrast and the contrast gap can be tuned by adjusting the angle of polarization and the ratio of the ellipticity. The simulation result also shows that the higher the ratio of ellipticity the lower the contrast gap but at the expense of lowering the contrast somewhat. If thi...


Japanese Journal of Applied Physics | 2006

Investigation of Optimum Biasing and Undercut for Single Trench Alternating Phase Shift Mask in 193 nm Lithography

Ji-Eun Lee; Hye-Young Kang; Dong-Soo Shin; Heejun Jeong; Ilsin An; Chang-Nam Ahn; Hye-Keun Oh

The use of an alternating phase shift mask is an effective method of improving resolution compared with binary and embedded attenuated phase shift mask technologies, but the intensity imbalance between the light propagating through the zero- and π-shifted spaces is the main obstacle to be overcome. Several technical methods are proposed to compensate for such an imbalance in the mask manufacturing process. The known general solutions for the intensity imbalance are applying a space bias and/or an undercut of the space region of the alternating phase shift mask. We evaluated the uniformity of the resist profile after the application of a space bias or an undercut of the mask space region in order to minimize the pattern position displacement and the critical dimension difference between the phase-shifted and unshifted regions for the 90 and 65 nm nodes. Additionally, we found that the imperfect side wall angle of an undercut or a space bias obviously affected the quality of pattern fidelity and hence investigated how the side wall angle affects pattern printability.


Japanese Journal of Applied Physics | 2006

Chromeless Phase Lithography Using Scattering Bars and Zebra Patterns

Hye-Young Kang; Ilsin An; Dong-Soo Shin; Heejun Jeong; Chang-Nam Ahn; Hye-Keun Oh

Resolution enhancement technology refers to a technique that extends the usable resolution of an imaging system without decreasing the wavelength of light or increasing the numerical aperture (NA) of the imaging tool. Off-axis illumination and a phase shift mask (PSM) are essentially accompanied by optical proximity correction (OPC) for most devices nowadays. Chromeless phase lithography (CPL) is one of the PSM technologies. To obtain the best resolution, proper OPC is required with CPL. While the most common application of OPC is to provide mask bias, an additional technique is the use of scattering bars (SBs) and zebra patterns. We compared zebra patterns for 65 nm lines and spaces (L/S) and 45 nm isolated line (I/L) with SBs. To optimize zebra pattern density, we vary the line width and pitch of the zebra patterns. We confirmed that the use of SB and zebra patterns could realize the target linewidth and control necessary for acheiving dense L/S and I/L.


26th Annual International Symposium on Microlithography | 2001

Feasibility study on the ArF attenuated phase-shift mask for 100-nm node lithography

Sang-Sool Koo; Sang-Jin Kim; Seung-Weon Paek; Chang-Nam Ahn; Young-Mog Ham; Ki-Soo Shin

This paper describes the feasibility of lOOnm-node lithography using ArF lithography and att-PSM (aUenuated Phase Shift Mask). In the simulation approach, we can find that att-PSM can improve EL window more than 25%compared to BIM (Binary Intensity Mask) in both KrF and ArF lithography. Although the MEF (Mask Error Factor) values of att-PSM and BIM are almost same even in a higher NA region, the total CD variation of aU-PSM is slightly lower than that of BIM because of the increase effect of EL window. Considering the total CD variation, it is necessary to use the ArF lithography machine with higher NA of more than O.7ONA for lOOnm patterning. In the real patterning performance, the ArF lithography and att-PSM can improve EL windows more than 60% in comparison with KrF lithography and att-PSM for sub-l2Onm cell patterns. The case of att-PSM and annular aperture condition, especially small ring width annular condition shows the increasing effect ofprocess windows compared to BIM for lOOnm L/S patterns. For the direct C/H printing below l2Onm feature, we can get about 9% EL window in the case of l2Onm C/H feature. Although we have some technical issues for lOOnm lithography such as the controllability of MEF and EL window extension, the lens quality enhancement for the higher NA and manufacturing defects of att-PSM, etc., there is a sufficient feasibility to obtain lOOnm-node pattern with ArF lithography and att-PSM.


16th Annual BACUS Symposium on Photomask Technology and Management | 1996

Application of deep-UV attenuated PSM to 0.2-um contact hole patterning technology

Il-Ho Lee; Seo-Min Kim; Chang-Nam Ahn; Ki Ho Baik

In order to develop 1 G bit DRAM of 0.18 micrometers design rule, it is required to generate 0.2 micrometers contact hole patterns with local DOF over 1.0 micrometers . One of good candidates is DUV attenuated phase shift mask (PSM), which improves the lithographic process margin such as depth of focus (DOF), especially in contact hole patterns due to edge enhancement effect. In the case of DUV attenuated PSM, the optimum condition for contact hole patterns near 0.2 micrometers has been investigated by simulations and experiments using chromium- based attenuated PSM with the transmittance of 6% at 248 nm wavelength. We obtained local DOF of 1.2 micrometers for 0.2 micrometers contact hole of 1 G bit DRAM with printing bias of -0.046 micrometers using KrF laser system (0.31 (sigma) , 0.55 NA). We evaluated the characteristics of contact hole with various duty ratios and defect printability using programmed defects.

Collaboration


Dive into the Chang-Nam Ahn's collaboration.

Top Co-Authors

Avatar

Ki-Ho Baik

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge