M. H. van der Veen
Katholieke Universiteit Leuven
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by M. H. van der Veen.
international interconnect technology conference | 2014
Fumihiro Inoue; Harold Philipsen; M. H. van der Veen; S. Van Huylenbroeck; Silvia Armini; Herbert Struyf; Tetsu Tanaka
High aspect ratio through-silicon vias (3 μm diameter by 50 μm depth) have been filled by standard Cu plating process on electroless deposited (ELD) Cu seed layers on conformal liners of Ru or Co. The in-field Cu overburden that was needed to achieve electrochemical fill on the ELD-Cu seed was 600 nm. This is much lower than would have been needed in a conventional scheme with a PVD-Cu seed (of ~ 1500 nm) and, with that, reduces the Cu CMP time. This work shows the feasibility of Cu electroless as deposition technique in a TSV metallization process.
international reliability physics symposium | 2017
O. Varela Pedreira; Kristof Croes; A. Lesniewska; Chen Wu; M. H. van der Veen; J. De Messemaeker; Kevin Vandersmissen; Nicolas Jourdan; Liang Gong Wen; C. Adelmann; Basoene Briggs; V. Vega Gonzalez; Jürgen Bömmels; Zs. Tokei
Cobalt and ruthenium are being proposed to replace copper in BEOL interconnects. Using intrinsic TDDB studies, we show that Co needs a barrier to prevent it from drifting into SiO2, where for Ru no drift into any of the three studied dielectrics is observed. Although our intrinsic EM studies on single damascene lines filled with Co suffered from bondpad delamination and a non-optimized CMP, we could still conclude that the EM-performance is better compared to Cu filled lines, where a much better performance of Ru filled lines is demonstrated (>25x). Via failures on Ru schemes show a >5x higher lifetime compared to Cu schemes.
international interconnect technology conference | 2015
Kevin Vandersmissen; Fumihiro Inoue; Dimitrios Velenis; Yunlong Li; Dries Dictus; B. Frees; S. Van Huylenbroeck; M. Kondo; T. Seino; Nancy Heylen; Herbert Struyf; M. H. van der Veen
In this work, we present a cost effective Cu electroless (ELD-Cu) metallization scheme in which through-silicon vias (TSVs), can be scaled towards higher aspect ratios. We successfully integrated 30 nm ELD-Cu on 15 nm Ru in 3×50 μm TSVs on 300 mm wafer scale and found excellent electrical reliability. Cost calculations revealed the major impact of the implementation of the platable Ru liner material on the costs for the deposition and chemical mechanical polishing part of the TSV metallization. In addition, we demonstrated a complete TSV filling for the 3.5 nm ALD-Ru case and investigated different kinds of Cu electrodeposition chemistries and their influence on the presence of micro-voids in the TSVs.
international interconnect technology conference | 2013
Tomoyuki Kirimura; Kristof Croes; Yong Kong Siew; Kris Vanstreels; Piotr Czarnecki; Z. Ei-Mekki; M. H. van der Veen; Dries Dictus; A. Yoon; Artur Kolics; J. Bommcls; Zs. Tokei
We investigate void nucleation and growth during electromigration in 30 nm half pitch Cu lines. Diffusion interfaces are varied a) by using SiCN dielectric cap or a CoWP metal cap and b) by tuning the thickness of TaN/Ta barrier metal. The developed local sense EM test method and in-situ EM observations allow understanding void nucleation and growth stages. For the SiCN cap, independent of barrier thickness, there are two void growth modes sensitive to grain structure. In contrast, for the CoWP cap, a single mode independent of the grain structure is observed, where a nucleated void is pinned in the test line. We also show that Co diffuses into the interface between the barrier metal and Cu, and suppresses Cu diffusivity at that interface. As both Cu diffusivities at the cap and barrier interfaces are suppressed by the presence of Co, a CoWP cap is beneficial to electromigration for advanced interconnects where thinner barrier metals are required.
symposium on vlsi technology | 2016
Zs. Tokei; Ivan Ciofi; Ph. Roussel; P. Debacker; Praveen Raghavan; M. H. van der Veen; N. Jourdan; Christopher J. Wilson; V. Vega Gonzalez; C. Adelmann; L. Wen; Kristof Croes; O.Varela Pedreira K. Moors; Mikhail Krishtab; Silvia Armini; Jürgen Bömmels
Interconnects pose increasing challenges as technology scaling proceeds. In order to overcome these challenges simultaneous optimization of novel metallization schemes, new materials, circuit and system level approaches are required.
international interconnect technology conference | 2016
Nicolas Jourdan; M. H. van der Veen; V. Vega Gonzalez; Kristof Croes; A. Lesniewska; O. Varela Pedreira; S. Van Elshocht; Jürgen Bömmels; Zs. Tokei
Aggressive downscaling of the barrier/liner thickness is the key to meet line and via resistance requirements from 15nm metal half pitch and below interconnects. For this purpose, porous low-k(2.4) dielectric/Mn-based barrier/Ru-liner/Cu system was extensively studied. Mn-silicate (MnSiO3) formation, intrinsic Cu diffusion barrier property and O2 barrier efficiency of the system were demonstrated. A stack of 1nm Mn-based barrier/1nm Ru liner was successfully integrated in tight pitch dual damascene (DD) Cu wires and its extendibility to at least 15nm feature size was confirmed both morphologically and electrically. Although, it was shown that Mn/Ru-based system is intrinsically reliable from electro-migration (EM) perspective, the absence of the flux divergence at the via bottom was also established, which needs to be addressed. Overall, this work shows that the Mn/Ru-based system is a serious barrier/liner solution for future technology nodes.
international interconnect technology conference | 2017
Basoene Briggs; Christopher J. Wilson; K. Devriendt; M. H. van der Veen; S. Decoster; S. Paolillo; J. Versluijs; E. Kesters; F. Sebaai; Nicolas Jourdan; Zaid El-Mekki; Nancy Heylen; Patrick Verdonck; Danny Wan; O. Varela Pedreira; Kristof Croes; Shibesh Dutta; Julien Ryckaert; A. Mallik; S. Lariviere; Jürgen Bömmels; Zs. Tokei
We demonstrate an integration approach to enable 16nm half-pitch interconnects suitable for the 5nm technology node using 193i Lithography, SADP, SAQP, three times Litho-Etch (LE3) and tone-inversion. A silicon-verified DOE experiment on a SAQP process suggests a tight process window for core etch and spacer depositions. We also show a novel process flow which enable us to pattern tight-pitch metal-cut (block), and effectively scale the trench CD to 12nm at pitch 32nm. Finally we discuss line resistance and resistivity obtained for the 16nm and 12nm trenches created using 193i integration flow.
international interconnect technology conference | 2017
Sofie Beyne; Kristof Croes; M. H. van der Veen; O. Varela Pedreira; Q. Qi; I. De Wolf; Zs. Tokei
The electromigration (EM) performance of Cu interconnects with different barrier/liner combinations is studied by means of 1/f (or generally known as low-frequency) noise measurements. It is shown that Cu interconnects with a TaN barrier and Co liner have lower EM activation energies for 22nm half-pitch line-widths than Ru based liners. Indeed, interconnects with a 1nm Ru liner (both with TaN and Mn-based barriers) are found to outperform lines with a Co liner in terms of EM reliability. A possible explanation for this is a less defective Cu/Ru interface as compared to Cu/Co.
Journal of Physical Chemistry C | 2010
Amirhasan Nourbakhsh; Mirco Cantoro; Alexander V. Klekachev; Francesca Clemente; Bart Sorée; M. H. van der Veen; Tom Vosch; Andre Stesmans; Bert Sels; S. De Gendt
Nanotechnology | 2013
Rongsie Xie; Can Zhang; M. H. van der Veen; Kai Arstila; Thomas Hantschel; Bingan Chen; Guofang Zhong; J. Robertson