Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where O. Varela Pedreira is active.

Publication


Featured researches published by O. Varela Pedreira.


Microelectronics Reliability | 2011

Cu pumping in TSVs: Effect of pre-CMP thermal budget

I. De Wolf; Kristof Croes; O. Varela Pedreira; Riet Labie; Augusto Redolfi; M. Van De Peer; Kris Vanstreels; Chukwudi Okoro; Bart Vandevelde; Eric Beyne

Abstract When Cu ‘Through-Silicon-Vias’ (TSVs) are exposed to high temperatures as typically encountered during the back-end of line (BEOL) processing, the higher coefficient of thermal expansion (CTE) of Cu forces it to expand more than Si. This causes compressive stress in the confined Cu inside the TSV. This stress can partly be released near the top of the TSV, by out-of-plane expansion of the Cu, the so-called ‘Cu pumping’. It can severely damage the BEOL layers. In this paper the effect of a pre-CMP thermal budget (temperature and time) on Cu pumping is studied for various Cu chemistries and TSV aspect ratios. It is shown that to suppress Cu pumping a pre-CMP anneal is required that is either very long or at a temperature very close to the maximum temperature used in the BEOL processing.


international interconnect technology conference | 2016

Barrier/liner stacks for scaling the Cu interconnect metallization

Marleen H. van der Veen; N. Jourdan; V. Vega Gonzalez; Christopher J. Wilson; Nancy Heylen; O. Varela Pedreira; Herbert Struyf; Kristof Croes; Jürgen Bömmels; Zs. Tokei

Self-forming barriers and advanced liner materials are studied extensively for their Cu gapfill performance and interconnect scaling. In this paper, 22nm1/2 pitch Cu low-k interconnects with barrier (Mn-based, TaN) /liner (Co, Ru) combinations are compared and benchmarked for their resistivity, resistance scaling, and electromigration (EM) performance. Extendibility to 16nm copper width was explored experimentally and a projection towards 12nm width is performed. It is found that the Ru-liner based systems show a higher overall Cu-resistivity. We show that this increase can be compensated by combining Ru with a thinner Mn-based barrier, which increases the effective Cu-area at a particular trench width. The EM performance reveals that the Ru-liner systems have a better EM lifetime compared to the Co-liner based systems. More interestingly, in a comparison of the maximum current density Jmax a significant improvement is found for the scaled Mn-based/Ru system, making it therefore a serious candidate to extend the Cu metallization.


international reliability physics symposium | 2015

Impact of oxide liner properties on TSV Cu pumping and TSV stress

J. De Messemaeker; O. Varela Pedreira; A. Moussa; Nabi Nabiollahi; Kris Vanstreels; S. Van Huylenbroeck; Harold Philipsen; Patrick Verdonck; Bart Vandevelde; I. De Wolf; Eric Beyne; Kristof Croes

We investigated the impact of oxide liner elastic modulus and thickness on through-silicon via (TSV) Cu pumping and stress. A low-k dielectric liner showed a decrease in residual Cu pumping and TSV stress compared to O3-TEOS SiO2 and ALD SiO2 liners. For TSVs with a post-plating anneal, residual Cu pumping decreases from (102 ± 7) nm to (11 ± 1) nm (99.9th percentile) when the O3-TEOS SiO2 liner thickness increases from 50 to 630 nm, while the TSV stress increases from 220 to 610 MPa. The latter is attributed to permanent liner densification under compressive thermal stress at high temperature. This liner densification generates a significant part of the room temperature TSV stress. For our O3-TEOS SiO2 liner system, this was estimated to be 50 % of the total stress for a liner of 100 nm, and 90 % for a liner of 200 nm.


international reliability physics symposium | 2017

Reliability study on cobalt and ruthenium as alternative metals for advanced interconnects

O. Varela Pedreira; Kristof Croes; A. Lesniewska; Chen Wu; M. H. van der Veen; J. De Messemaeker; Kevin Vandersmissen; Nicolas Jourdan; Liang Gong Wen; C. Adelmann; Basoene Briggs; V. Vega Gonzalez; Jürgen Bömmels; Zs. Tokei

Cobalt and ruthenium are being proposed to replace copper in BEOL interconnects. Using intrinsic TDDB studies, we show that Co needs a barrier to prevent it from drifting into SiO2, where for Ru no drift into any of the three studied dielectrics is observed. Although our intrinsic EM studies on single damascene lines filled with Co suffered from bondpad delamination and a non-optimized CMP, we could still conclude that the EM-performance is better compared to Cu filled lines, where a much better performance of Ru filled lines is demonstrated (>25x). Via failures on Ru schemes show a >5x higher lifetime compared to Cu schemes.


international interconnect technology conference | 2016

CVD-Mn/CVD-Ru-based barrier/liner solution for advanced BEOL Cu/Low-k interconnects

Nicolas Jourdan; M. H. van der Veen; V. Vega Gonzalez; Kristof Croes; A. Lesniewska; O. Varela Pedreira; S. Van Elshocht; Jürgen Bömmels; Zs. Tokei

Aggressive downscaling of the barrier/liner thickness is the key to meet line and via resistance requirements from 15nm metal half pitch and below interconnects. For this purpose, porous low-k(2.4) dielectric/Mn-based barrier/Ru-liner/Cu system was extensively studied. Mn-silicate (MnSiO3) formation, intrinsic Cu diffusion barrier property and O2 barrier efficiency of the system were demonstrated. A stack of 1nm Mn-based barrier/1nm Ru liner was successfully integrated in tight pitch dual damascene (DD) Cu wires and its extendibility to at least 15nm feature size was confirmed both morphologically and electrically. Although, it was shown that Mn/Ru-based system is intrinsically reliable from electro-migration (EM) perspective, the absence of the flux divergence at the via bottom was also established, which needs to be addressed. Overall, this work shows that the Mn/Ru-based system is a serious barrier/liner solution for future technology nodes.


Journal of Applied Physics | 2015

Correlation between stress-induced leakage current and dielectric degradation in ultra-porous SiOCH low-k materials

Yunlong Li; A. Leśniewska; O. Varela Pedreira; J.-F. de Marneffe; Ivan Ciofi; Patrick Verdonck; M.R. Baklanov; Jürgen Bömmels; I. De Wolf; Zs. Tőkei; Kristof Croes

Stress-Induced Leakage Current (SILC) behavior during the dielectric degradation of ultra-porous SiOCH low-k materials was investigated. Under high voltage stress, SILC increases to a critical value before final hard breakdown. This SILC increase rate is mainly driven by the injected charges and is negligibly influenced by temperature and voltage. SILC is found to be transient and shows a t−1 relaxation behavior, where t is the storage time at low voltages. This t−1 transient behavior, described by the tunneling front model, is caused by both electron charging of neutral defects in the dielectric close to the cathode interface and discharging of donor defects close to the anode interface. These defects have a uniform density distribution within the probed depth range, which is confirmed by the observed flat band voltage shift results collected during the low voltage storage. By applying an additional discharging step after the low voltage storage, the trap energies and spatial distributions are derived. I...


international interconnect technology conference | 2017

N5 technology node dual-damascene interconnects enabled using multi patterning

Basoene Briggs; Christopher J. Wilson; K. Devriendt; M. H. van der Veen; S. Decoster; S. Paolillo; J. Versluijs; E. Kesters; F. Sebaai; Nicolas Jourdan; Zaid El-Mekki; Nancy Heylen; Patrick Verdonck; Danny Wan; O. Varela Pedreira; Kristof Croes; Shibesh Dutta; Julien Ryckaert; A. Mallik; S. Lariviere; Jürgen Bömmels; Zs. Tokei

We demonstrate an integration approach to enable 16nm half-pitch interconnects suitable for the 5nm technology node using 193i Lithography, SADP, SAQP, three times Litho-Etch (LE3) and tone-inversion. A silicon-verified DOE experiment on a SAQP process suggests a tight process window for core etch and spacer depositions. We also show a novel process flow which enable us to pattern tight-pitch metal-cut (block), and effectively scale the trench CD to 12nm at pitch 32nm. Finally we discuss line resistance and resistivity obtained for the 16nm and 12nm trenches created using 193i integration flow.


international interconnect technology conference | 2017

Study of electromigration mechanisms in 22nm half-pitch Cu interconnects by 1/f noise measurements

Sofie Beyne; Kristof Croes; M. H. van der Veen; O. Varela Pedreira; Q. Qi; I. De Wolf; Zs. Tokei

The electromigration (EM) performance of Cu interconnects with different barrier/liner combinations is studied by means of 1/f (or generally known as low-frequency) noise measurements. It is shown that Cu interconnects with a TaN barrier and Co liner have lower EM activation energies for 22nm half-pitch line-widths than Ru based liners. Indeed, interconnects with a 1nm Ru liner (both with TaN and Mn-based barriers) are found to outperform lines with a Co liner in terms of EM reliability. A possible explanation for this is a less defective Cu/Ru interface as compared to Cu/Co.


international reliability physics symposium | 2018

Insights into metal drift induced failure in MOL and BEOL

Chen Wu; O. Varela Pedreira; A. Lesniewska; Yunlong Li; Ivan Ciofi; Zs. Tokei; Kristof Croes


international interconnect technology conference | 2018

Electromigration and Thermal Storage Study of Barrierless Co Vias

O. Varela Pedreira; Kristof Croes; Houman Zahedmanesh; Kevin Vandersmissen; M. H. van der Veen; V. Vega Gonzalez; Dries Dictus; Larry Zhao; A. Kolies; Zs. Tokei

Collaboration


Dive into the O. Varela Pedreira's collaboration.

Top Co-Authors

Avatar

Kristof Croes

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Zs. Tokei

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jürgen Bömmels

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

M. H. van der Veen

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

V. Vega Gonzalez

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

I. De Wolf

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Nicolas Jourdan

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

A. Lesniewska

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Christopher J. Wilson

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Ivan Ciofi

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge