Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mantavya Sinha is active.

Publication


Featured researches published by Mantavya Sinha.


Applied Physics Letters | 2008

Tuning the Schottky barrier height of nickel silicide on p-silicon by aluminum segregation

Mantavya Sinha; Eng Fong Chor; Yee-Chia Yeo

We report the Schottky barrier height (SBH) tuning at the nickel silicide (NiSi)∕p-Si junction by the introduction of aluminum (Al) using ion implantation and its segregation after silicidation. The SBH for holes has been found to decrease with increasing concentration of Al at the NiSi∕p-Si interface. We demonstrate the achievement of one of the lowest reported SBH for holes of 0.12eV, with less than 0.1at.% Al in NiSi, which is promising for application in p-channel Schottky source/drain transistors.


Journal of The Electrochemical Society | 2009

Achieving Sub- 0.1 eV Hole Schottky Barrier Height for NiSiGe on SiGe by Aluminum Segregation

Mantavya Sinha; Rinus T. P. Lee; Anup Lohani; Subodh G. Mhaisalkar; Eng Fong Chor; Yee-Chia Yeo

Nickel germano-silicide (NiSiGe) contact was formed on silicon-germanium (Si 1-x Ge x or SiGe) epilayer with 26% Ge, grown on p-Si (100) substrate. We report the tuning of the effective Schottky barrier height (SBH) of holes at the NiSiGe/SiGe junction to sub-0.1 eV by the introduction of aluminum (Al) using ion implantation and its segregation at the NiSiGe/SiGe interface after germano-silicidation. The effective SBH decreases with increasing concentration of Al at the NiSiGe/SiGe interface. We demonstrate the achievement of one of the lowest reported hole SBHs for NiSiGe on SiGe of 0.068 eV, which is extremely promising for application in p-type metal oxide semiconductor field-effect transistors. The presence of Al does not affect the sheet resistance or the low-resistivity nickel mono-germano-silicide phase of the NiSiGe film. Our results indicate the possibility of an electric dipole at the NiSiGe/SiGe interface, introduced by Al atoms, which is responsible for the SBH modulation. Increase in thickness of nickel used for germano-silicidation increases the effective SBH. The increase in the Al implant dose reduces the effective SBH but degrades the SiGe epilayer by amorphizing it to a greater depth. Thus, a trade-off exists in choosing the Al implant dose and the nickel thickness needed to consume the amorphized SiGe for maximum device performance.


IEEE Transactions on Electron Devices | 2010

Contact Resistance Reduction Technology Using Aluminum Implant and Segregation for Strained p-FinFETs With Silicon–Germanium Source/Drain

Mantavya Sinha; Rinus T. P. Lee; Eng Fong Chor; Yee-Chia Yeo

We have demonstrated the introduction of an additional aluminum (Al) implant step in the fabrication of strained p-FinFETs with silicon-germanium (SiGe) source/drain (S/D). Al is implanted. into the p<sup>+</sup>-SiGe S/D region at energy of 10 keV and a dose of 2 × 10<sup>14</sup> atoms/cm<sup>2</sup>, followed by its segregation at the NiSiGe/p<sup>+</sup>-SiGe S/D interface during germanosilicidation. The presence of Al at this interface leads to lowering of the effective Schottky barrier height for hole conduction, which, in essence, lowers the S/D contact resistance R<sub>C</sub> · R<sub>C</sub> is a dominant component of the FinFET parasitic series resistance R<sub>SD</sub>, which is lowered by approximately 25% using this technology, correspondingly leading to a substantial increase in the saturation drive current. The novel Al-segregated NiSiGe/p<sup>+</sup>-SiGe S/D contact junction in p-FinFETs does not degrade short-channel effects or the NiSiGe film morphology.


IEEE Electron Device Letters | 2009

Novel Aluminum Segregation at NiSi /

Mantavya Sinha; Rinus T. P. Lee; K. L. Tan; Guo-Qiang Lo; Eng Fong Chor; Yee-Chia Yeo

This letter reports the first demonstration of the integration of nickel-silicide (NiSi) source/drain (S/D) contact technology with a novel aluminum (Al) segregation at the NiSi/p +-Si interface in the S/D of p-channel FinFETs to reduce contact resistance. This leads to reduction in parasitic series resistance. We show that the addition of a low-dose (2times1014 atom/cm2) Al ion implant step in the Si S/D of p-channel FinFETs could achieve ~ 19% enhancement in drive current. This is attributed to the reduction in effective Schottky barrier height of holes at NiSi/p+-Si interface, from ~ 0.4 to ~ 0.12 eV, using Al segregation.


IEEE Electron Device Letters | 2010

{p}^{+}

Mantavya Sinha; Eng Fong Chor; Yee-Chia Yeo

This letter reports the demonstration of a nickel-silicide contact technology that achieves dual near-band-edge barrier heights (i.e., a low electron barrier height ΦBn for n-FETs and a low hole barrier height ΦBp for p-FETs) using just one additional masking and two ion-implant steps. Independent and effective tuning of contact resistance RC is achieved in both p- and n-FinFETs. The compensation effect of aluminum and sulfur implants is studied for the first time and exploited for process simplification. A novel cost-effective integration scheme is shown to give significant IDSAT enhancement for p- and n-FinFETs.


Applied Physics Letters | 2007

- Si Source/Drain Contact for Drive Current Enhancement in

Mantavya Sinha; Eng Fong Chor; Chung Foong Tan

We have demonstrated the tuning of Schottky barrier height (SBH) of nickel silicide on silicon-carbon (Si1−xCx) by varying the carbon mole fraction, x. The SBH (for electron conduction) has been found to decrease with carbon concentration at a rate of ∼6.6meV/ (0.1% carbon). We have achieved ∼27meV drop in SBH with 0.4% carbon incorporation in Si1−xCx and shown that 1.3% carbon could lead to more than 85meV improvement. Furthermore, our results show an avenue to reduce the SBH of rare earth silicide contacts in n-channel Schottky transistors by fabricating them on Si1−xCx.


Journal of Applied Physics | 2009

P

Phyllis Shi Ya Lim; Rinus T. P. Lee; Mantavya Sinha; D. Z. Chi; Yee-Chia Yeo

The effective electron Schottky barrier height (ΦBN) of nickel silicide (NiSi:C) formed on silicon-carbon (Si1−yCy or Si:C) films with different substitutional carbon concentrations Csub was investigated. ΦBN was observed to decrease substantially with an increase in Csub. When Csub is increased from 0% to 1.5%, ΦBN is reduced by 200 meV. The results of this work could be useful for the reduction in contact resistance between nickel silicide and silicon-carbon source and drain in strained n-channel metal-oxide-semiconductor field-effect transistors.


IEEE Electron Device Letters | 2009

-Channel FinFETs

Mantavya Sinha; Rinus T. P. Lee; Eng Fong Chor; Yee-Chia Yeo

This letter reports on the fabrication and hole Schottky barrier (Phi<sub>B</sub> <sup>P</sup>) modulation of a novel nickel (Ni)dysprosium (Dy)-alloy germanosilicide (NiDySiGe) on silicon-germanium (SiGe). Aluminum (Al) implant is utilized to lower the Phi<sub>B</sub> <sup>P</sup> of NiDySiGe from ~0.5 to ~0.12 eV, with a correspondingly increasing Al dose in the range of 0-2 times 10<sup>15</sup> atoms/cm<sup>2</sup>. When integrated as the contact silicide in p-FinFETs (with SiGe source/drain), NiDySiGe with an Al implant dose of 2 times 10<sup>14</sup> atoms/cm<sup>2</sup> leads to 32% enhancement in Jdsat over p-FinFETs with conventional NiSiGe contacts. Ni-Dy-alloy silicide is a promising single silicide solution for series-resistance reduction in CMOS FinFETs.


international semiconductor device research symposium | 2009

Nickel-Silicide Contact Technology With Dual Near-Band-Edge Barrier Heights and Integration in CMOS FinFETs With Single Mask

Shao-Ming Koh; Mantavya Sinha; Yi Tong; Hock-Chun Chin; Wei-Wei Fang; Xingui Zhang; Chee-Mang Ng; Ganesh S. Samudra; Yee-Chia Yeo

Embedded Silicon-Carbon (e-Si:C) source/drain (S/D) stressor enhances n-FET drive current I<inf>on</inf>, and is a much-needed strain engineering option for future logic technologies. However, carbon in Si reduces dopant activation and increases the external resistance R<inf>EXT</inf> and contact resistance R<inf>CSD</inf>. As R<inf>EXT</inf> is a significant component of the total source-to-drain resistance in aggressively scaled MOSFETs [1], there is an immense interest in alleviating issues related to high R<inf>EXT</inf> and R<inf>CSD</inf>. In this paper, we report a novel scheme of reducing the electron barrier height (Φ<inf>B</inf><sup>N</sup>) and R<inf>CSD</inf> in FinFETs with Si:C S/D by introducing sulfur (S) at the NiSi:C/Si:C interface. The impact of S-segregation at the contact interface on R<inf>EXT</inf>, I<inf>on</inf>, and short-channel effects on FinFETs are investigated.


international symposium on vlsi technology, systems, and applications | 2009

Schottky barrier height tuning of silicide on Si1−xCx

Mantavya Sinha; Rinus T. P. Lee; Sivasubramaniam Nandini Devi; Guo-Qiang Lo; Eng Fong Chor; Yee-Chia Yeo

This paper demonstrates the integration of Al segregated NiSi/p<sup>+</sup>-Si S/D contact junction in p-FinFETs for parasitic series resistance reduction. Al is introduced by ion implant into p<sup>+</sup> S/D region followed by nickel deposition and silicidation. Drive current enhancement of ∼15 % is achieved without any degradation of short channel effects. This is attributed to the lowering of Φ<inf>B</inf><sup>p</sup> of NiSi on p-Si from 0.4 eV to 0.12 eV with low Al dose of 2×10<sup>14</sup> atoms-cm<sup>−2</sup>, leading to lowering of contact resistance at NiSi/p<sup>+</sup>-Si S/D junction.

Collaboration


Dive into the Mantavya Sinha's collaboration.

Top Co-Authors

Avatar

Yee-Chia Yeo

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar

Eng Fong Chor

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar

Rinus T. P. Lee

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Chee-Mang Ng

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar

Ganesh S. Samudra

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar

Anup Lohani

Nanyang Technological University

View shared research outputs
Top Co-Authors

Avatar

Chung Foong Tan

Chartered Semiconductor Manufacturing

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge