Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Norbert Rosenkranz is active.

Publication


Featured researches published by Norbert Rosenkranz.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

High-resolution and high-precision pattern placement metrology for the 45 nm node and beyond

Gerd Klose; U. Buttgereit; Michael Arnz; Norbert Rosenkranz

In order for the lithography world to continue on its path to ever smaller features, process solutions such as OPC assist features and double patterning / exposure strategies put more and more focus on the quality of photomasks. The community roadmap requires for the 45nm/32nm node nominal mask features of 120 nm and 85 nm, respectively. Small feature sizes in combination with tight overlay budgets of only 4.8 nm or even 3.4 nm for the 32 nm node illustrate the need for a registration metrology tool with high resolving power and yet unprecedented specifications on reproducibility and accuracy. Carl Zeiss reports on the concept and the project timeline of its new registration tool currently under development. Novel concepts such as the high resolution at-wavelength imaging optics, an integrated full-field autofocus system, and a correlation algorithm for image analysis are presented as examples for the innovative approach to achieve the very demanding goal.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Photomask Registration and Overlay Metrology by means of 193 nm Optics

Gerd Klose; Norbert Kerwien; Michael Arnz; Dirk Beyer; Norbert Rosenkranz

This paper reports on the current status of PROVETM - a new photomask registration and overlay metrology system currently under development at Carl Zeiss. The scope of the project is to design and build a photomask pattern placement metrology tool which is serving the 32 nm node. Performance specifications of the tool are actually driven by double exposure/ double patterning approaches which will help to extend the 193 nm lithography platforms, while keeping the semiconductor industry conform to ITRS roadmap requirements. A secondary requirement of pattern placement metrology tools is the CD measurement option for design features of interest. Combining both registration and CD measurement reduces the number of process operations a photomask has to encounter during manufacture. Optical design considerations are discussed, which led to the tool being designed for 193 nm illumination corresponding to at-wavelength metrology for most current and future photomask applications. The concept enables registration and CD metrology by transmitted or reflected light. The short wavelength together with a NA of 0.6 also provides sufficient resolution even at working distances compatible with the use of pellicles, hence enabling the tool for qualification of final, production ready masks. Imaging simulations with a rigorous Maxwell solver prove our chosen optical concept to be adequate for the various mask types (e.g. COG, MoSi, EUV) commonly used today and presumably in the future. The open concept does enable a higher NA for future, pellicle free applications.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

PROVE: a photomask registration and overlay metrology system for the 45 nm node and beyond

Gerd Klose; Dirk Beyer; Michael Arnz; Norbert Kerwien; Norbert Rosenkranz

The continuous progress in semiconductor technology has caused mask feature sizes shrinking to 120 nm for the 45nm node and down to 85 nm for the 32nm node. Along with the smaller features, mask image placement accuracy has to improve to 3.4 nm by 2013. Applying double patterning in particular requires rigorous manufacturing control over level to level registration in order to achieve the specified yield and device speed. There is currently no registration tool that ensures image placement performance at the minimum feature size of current and future technology nodes. This work describes fundamental concepts and working principals of a new metrology tool currently under development at Carl Zeiss for measuring image placement and critical dimension in photomask manufacturing. The design of the instrument will be discussed with special emphasis on its optical components. Benefits and advantages using 193nm illumination as well as contrast simulations on different types of masks are presented.


Optical Measurement Systems for Industrial Inspection VII | 2011

Pattern placement metrology using PROVE high precision optics combined with advanced correction algorithms

Mario Längle; Norbert Rosenkranz; Dirk Seidel; Dirk Beyer

Photolithography is the key technology of the chip production in semiconductor industry. Increasing demands on wafer overlay requirements lead to increasing demands on registration accuracy of photomasks. The PROVETM photomask registration metrology tool has been developed by Carl Zeiss SMS to address the need for high imaging resolution in combination with excellent measurement performance. This paper reports the current status of PROVE™, highlighting its optical performance and correction algorithms. The tool is designed for 193 nm illumination and imaging optics, which enables at-wavelength metrology for current and future photomask manufacturing requirements. Registration and line width metrology is offered by the optical beam path using transmitted or reflected light. The opportunity of selecting optimized illuminations allows a smart adaption of the tool to the measurement task. The short wavelength together with a numerical aperture of 0.6 allows sufficient resolution down to the 32 nm manufacturing technology requirements. The stable hardware platform and the newly developed PROVE™ high precision optics enable a short term repeatability of less than 0.5 nm (3sigma). Distortion can be calibrated by using advanced image analysis and self calibration methods. The optical correction of the entire field of view delivers the requested screen linearity of less than 1 nm. It is shown, that the calculated optics correction is valid for different structure types and all kind of illuminations.


Metrology, inspection, and process control for microlithography. Conference | 2006

Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects

Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.


Advanced microlithography technologies. Conference | 2005

Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography

Axel Zibold; Wolfgang Harnisch; Thomas Scheruebl; Norbert Rosenkranz; Joern Greif

The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

First results for hyper NA scanner emulation from AIMS 45-193i

Axel Zibold; Ulrich Strössner; Norbert Rosenkranz; Andrew Ridley; Rigo Richter; Wolfgang Harnisch; Alvina M. Williams

Immersion lithography offers the semiconductor industry the opportunity to extend current ArF processes before switching to shorter wavelengths. As numerical apertures of scanners for hyper NA move above 1.0 with immersion lithography, increased attention must be paid to the photomask or reticle and its wafer printability. Feature sizes on the photomask become increasingly critical as they behave more like partial wire grid polarisers, as they become comparable to, or smaller than the wavelength. Besides challenges to address reticle polarisation effects, lithographers must also consider the polarisation state of the illumination and subsequently the contrast loss for light with a TM polarisation state. Such an effect, also called the vector effect, is caused by the increasing angle of incidence of the diffracted light for larger numerical apertures on the scanner. Therefore, for wafer printing using hyper NA scanners, the industry consensus is that TE polarised illumination must be used to meet the stringent requirements of imaging contrast. In this paper, initial results of measurements using the optical test stand and the alpha tool of a completely new AIMSTM tool for the 45nm node will be presented. The system covers all aspects of immersion and polarisation lithographic emulation. Measurements have been made on binary and phase shift masks with different sizes of features and on programmed defects.


23rd Annual BACUS Symposium on Photomask Technology | 2003

EUV mask simulation for AIMS

Roman Windpassinger; Norbert Rosenkranz; Thomas Scherübl; Peter Evanschitzky; Andreas Erdmann; Axel Zibold

The objective of this paper is to assess how variations of the chief ray angle of the illumination light incident on an EUV multilayer mask as well as the light bandwidth affect the performance of an AIMS EUV tool with respect to CD measurement and defect evaluation. To this end EUV images were simulated with an EUV lithography simulator developed by the Fraunhofer Institute IISB. The simulations were performed for a multilayer mask with a buried defect under an isolated line. The specifics of the AIMS EUV were taken into account by a superposition of aerial images obtained for different wavelengths. The presentation discusses the simulations and their results.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Printability study with polarization based AIMS fab 193i to investigate mask polarization effects

Axel Zibold; Ulrich Stroessner; Eric Poortinga; Rainer Schmid; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch

Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the latter corresponding to the 65nm node at the wafer level.


Archive | 2010

Mask inspection microscope with variable illumination setting

Ulrich Matejka; Norbert Rosenkranz; Mario Laengle

Collaboration


Dive into the Norbert Rosenkranz's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge