Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Thomas Scheruebl is active.

Publication


Featured researches published by Thomas Scheruebl.


20th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2004

Aerial image measurement technique for today's and future 193-nm lithography mask requirements

Axel Zibold; Thomas Scheruebl; Alexander Menck; Robert Brunner; Joern Greif

The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation worldwide successfully. Adjusting optical equivalent settings to steppers/scanners the AIMS system for 193 nm allows to emulate any type of reticles for 193 nm lithography. The overall system performance is demonstrated by AIMS measurements at 193 nm wavelength on binary chrome masks and phase shift masks. Especially for evaluation of 65 nm node lithography performance process window results will be discussed. An ArF excimer laser is in use for illumination. Therefore a beam homogenizer is needed to reduce the speckles in the laser beam and ensure a similar illumination uniformity as the longer wavelength systems, 248 nm and longer, using an arc source. A new beam homogenizing technique will be presented and illumination results compared to the current solution. The latest results on enhanced illumination uniformity exceed the current performance. A newly developed hybrid objective for high resolution imaging is tested for use of high resolution imaging in order to review defects and investigate repairs which do not print under stepper equivalent optical settings. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node. Polarization effects will be discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

In-die Metrology on Photomasks for low k1 Lithography

Dirk Beyer; Ute Buttgereit; Thomas Scheruebl

New lithography techniques like Double Patterning, Computational Lithography and Source Mask Optimization will be used to drive immersion lithography to its limits. This results in several challenges for the mask maker. The extremely high MEEF values amplify small process variations on the mask features on the wafer. Complex mask features using sophisticated OPC and assist features as well as double patterning tightens the registration and CDU specification at the same time. Especially, overlay becomes more and more critical and must be ensured on every die. In-die registration and CD metrology on arbitrary features is required to measure mask performance precisely. In this paper an overview about several in-die metrology techniques will be given. Application of in-die CD measurements using the Zeiss WLCD32 tool as well as in-die registration measurements using the Zeiss Prove tool will be shown and discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Mask CD control (CDC) with ultrafast laser for improving mask CDU using AIMS as the CD metrology data source

Guy Ben-Zvi; Eitan Zait; Vladimir Dmitriev; Erez Graitzer; Gidi Gottlieb; Lior Leibovich; Robert Birkner; Klaus Boehm; Thomas Scheruebl

CD uniformity control by ultrafast laser system writing inside the bulk of photomasks has previously been shown to be an effective method for local CD Control (CDC) [1], Intra-field CD variations correction has been implemented effectively in mask-shops and fabs based on CDC SEM [2, 3] and OCD as the CD data source. Using wafer CD data allows correction of all wafer field CD contributors at once, but does not allow correcting for mask CD signature alone. In case of a mask shop attempting to improve CDU of the mask regardless of a particular exposure tool, it is a better practice to use mask CD data by itself as the CD data source. We propose using an aerial imaging system AIMS 45-193i as the mask CD data source for the CDC process. In this study we created a programmed CD mask (65nm dense L/S) with relatively large CD errors. The programmed CD mask was then measured by AIMS 45-193i (AIMS45) which defined the CDU map of the programmed CD mask. The CDU data from AIMS 45-193i was then used by Pixer CDC101 to correct the CDU and bring it back to a flat almost ideal CDU. Results 1. AIMS 45-193i managed to map the full mask CDU with a resolution of 0.5 nm. 2. The CDC101 managed to correct the CDU based on the AIMS 45-193i data from Range 5nm and 3S 4nm down to Range 45-193i and CDC101 alone, without any wafer CD data, the mask CDU can be improved >70% and mask contribution to wafer CDU can be brought down to <1.0 nm 3S.


Advanced microlithography technologies. Conference | 2005

Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography

Axel Zibold; Wolfgang Harnisch; Thomas Scheruebl; Norbert Rosenkranz; Joern Greif

The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Aerial image measurement technique for fast evaluation of 193-nm lithography masks

Axel Zibold; Thomas Scheruebl; Wolfgang Harnisch; Robert Brunner; Joern Greif

The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation successfully worldwide. By adjustment of illumination type, numerical aperture and partial coherence to match the conditions in 193 nm steppers or scanners, AIMS can emulate for any type of reticles like binary, OPC and phase shift. AIMS allows a rapid prediction of wafer printability of critical features, like dense patterns or contacts, defects or repairs on the masks without the need to do real wafer prints using the cost intensive lithography equipment. Therefore, AIMS is a mask quality verification standard for high-end masks established in mask shops worldwide. With smaller nodes, where design rules are below 100 nm and low k1 factors are used in the lithography process, the increasing printability of even smaller defects on reticles is becoming a serious problem. The evaluation of defect printability using AIMS becomes a significant aid and cost-saving technique to be applied directly in the wafer fab. The overall measurement capability of the 193 nm AIMS system will be demonstrated by measurements at 193 nm wavelength on attenuated phase shift masks. Excellent illumination uniformity is crucial for quantitative analysis of AIMS measurements such as CD variation or defect printability. To reduce disturbing speckle formation of the highly coherent ArF excimer laser a new beam homogenizing technique which contains motionless parts only will be presented as well as illumination homogeneity results compared to the current solution using a spinning scattering disk. The latest results on illumination performance exceed the current results especially with respect to illumination uniformity over the field. The improved performance will enable improved measurement capability down to the 65 nm node. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node emulating immersion scanners.


Journal of Micro-nanolithography Mems and Moems | 2014

Defect mitigation considerations for EUV photomasks

Anthony Garetto; Renzo Capelli; Frederik Blumrich; Krister Magnusson; Markus Waiblinger; Thomas Scheruebl; Jan Hendrik Peters; Michael Goldstein

Abstract. The introduction of extreme ultraviolet (EUV) lithography into manufacturing requires changes in all aspects of the infrastructure, including the photomask. EUV reflective masks consist of a sophisticated multilayer (ML) mirror, capping layer, absorber layer, and anti-reflective coating thereby dramatically increasing the complexity of the photomask. In addition to absorber type defects similar to those the industry was forced to contend with for deep ultraviolet lithography, the complexity of the mask leads to new classes of ML defects. Furthermore, these approaches are complicated not only by the mask itself but also by unique aspects associated with the exposure of the photomask by the EUV scanner. This paper focuses on the challenges for handling defects associated with inspection, review, and repair for EUV photomasks. Blank inspection and pattern shifting, two completely new steps within the mask manufacturing process that arise from these considerations, and their relationship to mask review and repair are discussed. The impact of shadowing effects on absorber defect repair height is taken into account. The effect of mask biasing and the chief ray angle rotation due to the scanner slit arc shape will be discussed along with the implications of obtaining die-to-die references for inspection and repair. The success criteria for compensational repair of ML defects will be reviewed.


Proceedings of SPIE | 2010

Reducing the impact of reticle CD-non-uniformity of multiple structures by dose corrections based on aerial image measurements

Ute Buttgereit; Robert Birkner; Thomas Scheruebl; Sander de Putter; Bernardo Kastrup; Jo Finders

For many critical lithography applications the main contributor to wafer intra-field CD variation is the reticle CD variation. Current practice is that the input data needed to correct the effect of the reticle on the wafer CD is gathered using wafer exposures and SEM or scatterometry analysis. This approach consumes valuable scanner time and adds wafer costs. In this work we evaluate the potential for Intra-Field CD non-uniformity (CDU) correction based on aerial image reticle measurements for a complex 2D structure, including peripheral structures. The application selected is a 45nm rotated brick wall structure (active area DRAM). A total of 10 line / space structures (both horizontal and vertical) through pitch represent the periphery. Mask qualification has been performed using the newly developed Zeiss WLCD32 metrology tool, which measures wafer level CD on masks using aerial imaging technology. Excellent correlation is shown between intra-field wafer data and WLCD32 data. Furthermore, a comparison is made between the correction potential of ASML DoseMapper recipes based on wafer data and on WLCD32 mask data, indicating that the potential CDU improvement via both approaches is similar. Exposures with the resulting dose recipes have been used to confirm this predicted correction potential in a realistic setting.


Proceedings of SPIE | 2009

Application of pixel-based mask optimization technique for high transmission attenuated PSM

Kyohei Sakajiri; Alexander V. Trichkov; Yuri Granik; Eric Hendrickx; Geert Vandenberghe; Monica Kempsell; Germain Fenger; Klaus Boehm; Thomas Scheruebl

Sub-resolution assist features (SRAF) insertion using mask synthesis process based on pixel-based mask optimization schemes has been studied in recent years for various lithographical schemes, including 6% attenuated PSM (AttPSM) with off-axis illumination. This paper presents results of application of the pixelbased optimization technology to 6% and 30% AttPSM mask synthesis. We examine imaging properties of mask error enhancement factor (MEEF), critical dimension (CD) uniformity, and side-lobe printing for random contact hole patterns. We also discuss practical techniques for manipulating raw complex shapes generated by the pixel-based optimization engine that ensure mask manufacturability.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Mask CD control (CDC) using AIMS as the CD metrology data source

Guy Ben-Zvi; Eitan Zait; Vladimir Dmitriev; Steven Labovitz; Erez Graitzer; Klaus Böhm; Robert Birkner; Thomas Scheruebl

It has been previously demonstrated that wafer CD uniformity can be improved via an ultrafast laser system. The system provides local CD Control (CDC) by writing inside the bulk of photomasks. Intra-field CD variation correction has been implemented effectively in mask-shops and fabs based on CD-SEM and Scatterometry (Optical CD or OCD) as the CD data source. Using wafer CD data allows correction of all wafer field CD contributors at once, but does not allow correcting for mask CD signature alone. For mask shops attempting to improve CDU of the mask regardless of the exposure tool, it is a better practice to use only mask CD data as the CD data source. In this study, we investigate the use of an aerial imaging system AIMSTM45-193i (AIMS45) as the mask CD data source for the CDC process. In order to determine the predictive value of the AIMS45 as input to the CDC process, we have created a programmed CD mask with both 45nm and 65nm node L/S and hole patterns. The programmed CD mask has CD errors of up to 20nm in 2.5nm steps (4X). The programmed CD mask was measured by AIMS45, defining the CDU map of the programmed CD mask. The CDU data was then used by Pixer CDC200TM to correct the CDU and bring it back to a flat, almost ideal CDU. In order to confirm that real CDU improvement on wafer had been achieved, the mask was printed before and after CDC on an immersion scanner at IMEC and results of pre and post CD data were compared.


SPIE Photomask Technology | 2016

To repair or not to repair: with FAVOR there is no question

Anthony Garetto; Kristian Schulz; Gilles Tabbone; Michael Himmelhaus; Thomas Scheruebl

In the mask shop the challenges associated with today’s advanced technology nodes, both technical and economic, are becoming increasingly difficult. The constant drive to continue shrinking features means more masks per device, smaller manufacturing tolerances and more complexity along the manufacturing line with respect to the number of manufacturing steps required. Furthermore, the extremely competitive nature of the industry makes it critical for mask shops to optimize asset utilization and processes in order to maximize their competitive advantage and, in the end, profitability. Full maximization of profitability in such a complex and technologically sophisticated environment simply cannot be achieved without the use of smart automation. Smart automation allows productivity to be maximized through better asset utilization and process optimization. Reliability is improved through the minimization of manual interactions leading to fewer human error contributions and a more efficient manufacturing line. In addition to these improvements in productivity and reliability, extra value can be added through the collection and cross-verification of data from multiple sources which provides more information about our products and processes. When it comes to handling mask defects, for instance, the process consists largely of time consuming manual interactions that are error prone and often require quick decisions from operators and engineers who are under pressure. The handling of defects itself is a multiple step process consisting of several iterations of inspection, disposition, repair, review and cleaning steps. Smaller manufacturing tolerances and features with higher complexity contribute to a higher number of defects which must be handled as well as a higher level of complexity. In this paper the recent efforts undertaken by ZEISS to provide solutions which address these challenges, particularly those associated with defectivity, will be presented. From automation of aerial image analysis to the use of data driven decision making to predict and propose the optimized back end of line process flow, productivity and reliability improvements are targeted by smart automation. Additionally the generation of the ideal aerial image from the design and several repair enhancement features offer additional capabilities to improve the efficiency and yield associated with defect handling.

Collaboration


Dive into the Thomas Scheruebl's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge