Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Thomas Scherübl is active.

Publication


Featured researches published by Thomas Scherübl.


Proceedings of SPIE | 2009

WLCD: a new system for wafer level CD metrology on photomasks

Sven Martin; Wolfgang Degel; Ute Buttgereit; Thomas Scherübl

With decreasing feature size, the requirements for CD uniformity (CDU) on the wafer have become crucial for achieving the required yield in the wafer fab. This is related to tighter CDU specifications on the photomask. Currently, mask CDU is mainly measured by mask CD SEM tools. However, due to strong OPC and high MEEF mask CDU is not directly related to wafer CDU. A new Aerial Imaging based optical system has been developed by Carl Zeiss SMS which measures wafer level CD already on photomasks under scanner conditions. First results of the alpha tool show that the new tool has extremely good CD repeatability and stability. Furthermore, the effect of the scanner settings on CD uniformity is demonstrated.


Proceedings of SPIE | 2008

The Flash Memory battle : How low can we go?

Eelco van Setten; Onno Wismans; Kees Grim; Jo Finders; Mircea Dusa; Robert Birkner; Rigo Richter; Thomas Scherübl

With the introduction of the TWINSCAN XT:1900Gi the limit of the water based hyper-NA immersion lithography has been reached in terms of resolution. With a numerical aperture of 1.35 a single expose resolution of 36.5nm half pitch has been demonstrated. However the practical resolution limit in production will be closer to 40nm half pitch, without having to go to double patterning alike strategies. In the relentless Flash memory market the performance of the exposure tool is stretched to the limit for a competitive advantage and cost-effective product. In this paper we will present the results of an experimental study of the resolution limit of the NAND-Flash Memory Gate layer for a production-worthy process on the TWINSCAN XT:1900Gi. The entire gate layer will be qualified in terms of full wafer CD uniformity, aberration sensitivities for the different wordlines and feature-center placement errors for 38, 39, 40 and 43nm half pitch design rule. In this study we will also compare the performance of a binary intensity mask to a 6% attenuated phase shift mask and look at strategies to maximize Depth of Focus, and to desensitize the gate layer for lens aberrations and placement errors. The mask is one of the dominant contributors to the CD uniformity budget of the flash gate layer. Therefore the wafer measurements are compared to aerial image measurements of the mask using AIMSTM 45-193i to separate the mask contribution from the scanner contribution to the final imaging performance.


Metrology, inspection, and process control for microlithography. Conference | 2006

Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects

Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.


Advanced Optical Technologies | 2012

Aerial imaging technology for photomask qualification: from a microscope to a metrology tool

Anthony Garetto; Thomas Scherübl; Jan Hendrik Peters

Abstract Photomasks carry the structured information of the chip designs printed with lithography scanners onto wafers. These structures, for the most modern technologies, are enlarged by a factor of 4 with respect to the final circuit design, and 20–60 of these photomasks are needed for the production of a single completed chip used, for example, in computers or cell phones. Lately, designs have been reported to be on the drawing board with close to 100 of these layers. Each of these photomasks will be reproduced onto the wafer several hundred times and typically 5000–50 000 wafers will be produced with each of them. Hence, the photomasks need to be absolutely defect-free to avoid any fatal electrical shortcut in the design or drastic performance degradation. One well-known method in the semiconductor industry is to analyze the aerial image of the photomask in a dedicated tool referred to as Aerial Imaging Measurement System, which emulates the behavior of the respective lithography scanner used for the imaging of the mask. High-end lithography scanners use light with a wavelength of 193 nm and high numerical apertures (NAs) of 1.35 utilizing a water film between the last lens and the resist to be illuminated (immersion scanners). Complex illumination shapes enable the imaging of structures well below the wavelength used. Future lithography scanners will work at a wavelength of 13.5 nm [extreme ultraviolet (EUV)] and require the optical system to work with mirrors in vacuum instead of the classical lenses used in current systems. The exact behavior of these systems is emulated by the Aerial Image Measurement System (AIMS™; a Trademark of Carl Zeiss). With these systems, any position of the photomask can be imaged under the same illumination condition used by the scanners, and hence, a prediction of the printing behavior of any structure can be derived. This system is used by mask manufacturers in their process flow to review critical defects or verify defect repair success. In this paper, we give a short introduction into the lithography roadmap driving the development cycles of the AIMS systems focusing primarily on the complexity of the structures to be reviewed. Second, we describe the basic principle of the AIMS technology and how it is used. The last section is dedicated to the development of the latest generation of the AIMS for EUV, which is cofinanced by several semiconductor companies in order to close a major gap in the mask manufacturing infrastructure and the challenges to be met.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Impact of alternative mask stacks on the imaging performance at NA 1.20 and above

Vicky Philipsen; Kei Mesuda; Peter De Bisschop; Andreas Erdmann; Giuseppe Citarella; Peter Evanschitzky; Robert Birkner; Rigo Richter; Thomas Scherübl

The lithographic performance of current state-of-the-art resolution enhancement techniques (RET) will become critical at hyper numerical aperture (NA>1) due to mask 3D effects. We have studied the impact of the mask material on the lithographic performance at NA 1.2 and above. The assessment, both by rigorous simulations and experiments, involves the standard mask stacks, Cr binary mask (BIM) and MoSi 6% attenuated phase shift mask (attPSM), as well as alternatives such as thick Cr BIM, Ta/SiO2 1% and 6% attenuated PSM, and Ta/SiON 1% attenuated PSM. Using the rigorous electro-magnetic field (EMF) and lithographic process simulations (IISB DrLiTHO) the mask structure is optimized taking into account the trade_off with mask error enhancement factor (MEEF). Next, a throughpitch evaluation of the 45nm half-pitch (HP) node at NA1.2-1.35 is carried out examining maximum exposure latitude (EL), depth-of-focus (DOF), best focus shifts, and MEEF behavior for the various mask stacks. For the validation of the simulation methodology a correlation is made between scanner (ASML XT:1700Fi), AIMS (Zeiss AIMSTM45-193i), and simulation results indicating the importance of the mask quality and mask properties. Based on the lithographic performance and the mask manufacturability we put together a ranking of the commercially available mask stacks for the 45nm HP node at NA 1.2 and 1.35.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Novel solution for in-die phase control under scanner equivalent optical settings for 45nm node and below

Sascha Perlitz; Ute Buttgereit; Thomas Scherübl; Dirk Seidel; Kyung M. Lee; Malahat Tavassoli

As lithography mask process moves toward 45nm and 32nm node, phase control is becoming more important than ever. Both attenuated and alternating Phase Shifting Masks (PSM) need precise control of phase as a function of both pitch and target sizes. However conventional interferometer-based phase shift measurements are limited to large CD targets and require custom designed patterns in order to function properly, which limits phase measurement Zeiss is currently developing an optical phase measurement tool (PhameTM), providing the capability of extending process control from large CD test features to in-die phase shifting features with high spatial resolution. The necessity of designing this optical metrology tool according to the optical setup of a lithographic exposure tool (scanner) has been researched to be fundamental for the acquisition of phase information generated from features close to the size of the used wavelength. It was found by simulation that the image phase of a scanner depends on polarization and the angle of incidence of the illumination light due to rigorous effects. Additionally, for small features the phase value is strongly influenced by the imaging NA of the scanner due to the loss of phase information in the imaging pupil. Simulations show that the resulting scanner phase in the image plane only coincides with the etch-depth equivalent phase for large test features, exceeding the size of the in-die feature by an order of magnitude. In this paper we introduce the PhameTM phase metrology tool, which enables the industry to perform in-die phase control for Alternating PSM, Attenuated PSM and CPL masks. The PhameTM uses a 193nm light source with the optical capability of phase measurement at scanner NA up to the equivalent of a NA1.6 immersion scanner, under varying, scanner relevant angle of incidence for Attenuated PSMs and CPLs, and with the possibility of polarizing the illuminating light. New options for phase shifting mask process control on in-die features will be outlined with first phase measurement results for varying states of polarization.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

The imaging performance of Flash Memory masks characterized with AIMS

Eelco van Setten; Onno Wismans; Kees Grim; Jo Finders; Mircea Dusa; Robert Birkner; Rigo Richter; Thomas Scherübl

Flash memory is an important driver of the lithography roadmap, with its dramatic acceleration in dimensional shrink, pushing for ever smaller feature sizes. The introduction of hyper-NA immersion lithography has brought the 45nm node and below within reach for memory makers using single exposure. At these feature sizes mask topology and the material properties of the film stack on the mask play an important role on imaging performance. Furthermore, the break up of the array pitch regularity in the NAND-type flash memory cell by two thick wordlines and a central space, leads to feature-center placement (overlay) errors, that are inherent to the design. An integral optimization approach is needed to mitigate these effects and to control both the CD and placement errors tightly. In this paper we will show that aerial image measurements at mask-level are useful for characterizing the gate layer of a NAND-Flash design before exposure. The aerial image measurements are performed with the AIMSTM 45-193i. and compared to CD measurements on the wafer obtained with an XT:1900Gi hyper-NA immersion system. An excellent correlation is demonstrated for feature-center placement errors and CD variations across the mask (see Figure 1) for several features in the gate layer down to 40nm half pitch. This shows the potential to use aerial image measurements at mask-level in combination with correction techniques on the photomask, like the CDC200 tool in combination with exposure tool correction techniques, such as DoseMapperTM, to improve both across field and across wafer CD uniformity of critical layers.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Increasing the predictability of AIMS measurements by coupling to resist simulations

Bálint Meliorisz; Andreas Erdmann; Thomas Schnattinger; Ulrich Ströβner; Thomas Scherübl; Peter De Bisschop; Vicky Philipsen

This paper studies the application of resist models to AIMSTM images. Measured AIMSTM data were coupled with resist simulations of the Fraunhofer IISB research and development lithography simulator Dr.LiTHO and with a compact resist model developed by Carl Zeiss SMS. Through-focus image data of the AIMSTM are transformed into a bulk image--the intensity distribution within the resist. This bulk image is used to compute the concentration of photo-acid after exposure and the following resist processing. In the result a resist profile is obtained, which can be used to extract the printed wafer linewidth and other data. Additionally, a compact resist model developed by Carl Zeiss SMS was directly applied to the AIMSTM data. The described procedures are used to determine dose latitudes for lines and spaces with different pitches. The obtained data are compared to actual wafer prints for a 1.2 NA system.


30th European Mask and Lithography Conference | 2014

Scanner arc illumination and impact on EUV photomasks and scanner imaging

Renzo Capelli; Anthony Garetto; Krister Magnusson; Thomas Scherübl

The combination of a reflective photomask with the non-telecentric illumination and arc shaped slit of the EUV scanner introduces what are known as shadowing effects. The compensation of these effects requires proper biasing of the photomask to generate the intended image on the wafer. Thus, the physical pattern on the mask ends up being noticeably different from the desired pattern to be written on the wafer. This difference has a strong dependence on both the illumination settings and the features to be printed. In this work, the impact of shadowing effects from line and space patterns with a nominal CD of 16nm at wafer was investigated with particular focus on the influence of pattern orientation and pitch, illumination pupil shape and fill (coherence) and absorber height. CD, best focus shift and contrast at best focus are utilized in detail in order to study the impact of the shadowing effects. All the simulation cases presented employ a complete scanner arc emulation, i.e. describe the impact of the azimuthal angle component of the illumination arc as in the NXE:3300 scanner and as it can be emulated by the AIMSTM EUV.


23rd Annual BACUS Symposium on Photomask Technology | 2003

EUV mask simulation for AIMS

Roman Windpassinger; Norbert Rosenkranz; Thomas Scherübl; Peter Evanschitzky; Andreas Erdmann; Axel Zibold

The objective of this paper is to assess how variations of the chief ray angle of the illumination light incident on an EUV multilayer mask as well as the light bandwidth affect the performance of an AIMS EUV tool with respect to CD measurement and defect evaluation. To this end EUV images were simulated with an EUV lithography simulator developed by the Fraunhofer Institute IISB. The simulations were performed for a multilayer mask with a buried defect under an isolated line. The specifics of the AIMS EUV were taken into account by a superposition of aerial images obtained for different wavelengths. The presentation discusses the simulations and their results.

Collaboration


Dive into the Thomas Scherübl's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge