Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wolfgang Harnisch is active.

Publication


Featured researches published by Wolfgang Harnisch.


Proceedings of SPIE | 2010

Actinic review of EUV masks

Heiko Feldmann; Johannes Ruoff; Wolfgang Harnisch; Winfried Kaiser

Management of mask defects is a major challenge for the introduction of EUV for HVM production. Once a defect has been detected, its printing impact needs to be predicted. Potentially the defect requires some repair, the success of which needs to be proven. This defect review has to be done with an actinic inspection system that matches the imaging conditions of an EUV scanner. During recent years, several concepts for such an aerial image metrology system (AIMS™) have been proposed. However, until now no commercial solution exists for EUV. Today, advances in EUV optics technology allow envisioning a solution that has been discarded before as unrealistic. We present this concept and its technical cornerstones.While the power requirement for the EUV source is less demanding than for HVM lithography tools, radiance, floor space, and stability are the main criteria for source selection. The requirement to emulate several generations of EUV scanners demands a large flexibility for the ilumination and imaging systems. New critical specifications to the EUV mirrors in the projection microscope can be satisfied using our expertise from lithographic mirrors. In summary, an EUV AIMS™ meeting production requirements seems to be feasible.


Metrology, inspection, and process control for microlithography. Conference | 2006

Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects

Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.


27th European Mask and Lithography Conference | 2011

Concept and feasibility of aerial imaging measurements on EUV masks

Sascha Perlitz; Wolfgang Harnisch; Ulrich Dr. Strößner; Heiko Feldmann; Dirk Hellweg; Michael Ringel

On the road to and beyond the 22nm half-pitch on chip patterning technology, 13.5nm EUVL is widely considered the best next technology generation following deep ultraviolet lithography. The availability of an actinic measurement system for the printability analysis of mask defects to ensure defect-free mask manufacturing and cost-effective high-volume EUV production is an infrastructural prerequisite for the EUVL roadmap and represents a significant step toward readiness for commercialization of EUV for high-volume-manufacturing . Carl Zeiss and SEMATECHs EUVL Mask Infrastructure (EMI) program started a concept study and feasibility plan for a tool that emulates the aerial image formed by a EUV lithography scanner supporting the 22 nm half-pitch node requirements with extendibility to the 16nm half-pitch node. The study is targeting a feasible concept for the AIMSTM EUV platform, bridging a significant gap for EUV mask metrology.


Advanced microlithography technologies. Conference | 2005

Using the aerial image measurement technique to speed up mask development for 193-nm immersion and polarization lithography

Axel Zibold; Wolfgang Harnisch; Thomas Scheruebl; Norbert Rosenkranz; Joern Greif

The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Aerial image measurement technique for fast evaluation of 193-nm lithography masks

Axel Zibold; Thomas Scheruebl; Wolfgang Harnisch; Robert Brunner; Joern Greif

The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation successfully worldwide. By adjustment of illumination type, numerical aperture and partial coherence to match the conditions in 193 nm steppers or scanners, AIMS can emulate for any type of reticles like binary, OPC and phase shift. AIMS allows a rapid prediction of wafer printability of critical features, like dense patterns or contacts, defects or repairs on the masks without the need to do real wafer prints using the cost intensive lithography equipment. Therefore, AIMS is a mask quality verification standard for high-end masks established in mask shops worldwide. With smaller nodes, where design rules are below 100 nm and low k1 factors are used in the lithography process, the increasing printability of even smaller defects on reticles is becoming a serious problem. The evaluation of defect printability using AIMS becomes a significant aid and cost-saving technique to be applied directly in the wafer fab. The overall measurement capability of the 193 nm AIMS system will be demonstrated by measurements at 193 nm wavelength on attenuated phase shift masks. Excellent illumination uniformity is crucial for quantitative analysis of AIMS measurements such as CD variation or defect printability. To reduce disturbing speckle formation of the highly coherent ArF excimer laser a new beam homogenizing technique which contains motionless parts only will be presented as well as illumination homogeneity results compared to the current solution using a spinning scattering disk. The latest results on illumination performance exceed the current results especially with respect to illumination uniformity over the field. The improved performance will enable improved measurement capability down to the 65 nm node. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node emulating immersion scanners.


SPIE Photomask Technology | 2011

Development status and infrastructure progress update of aerial imaging measurements on EUV masks

Sascha Perlitz; Wolfgang Harnisch; Ulrich Dr. Strößner; Jan Hendrik Peters; Markus Weiss; Dirk Hellweg

The high volume device manufacturing infrastructure for the 22nm node and below based on EUVL technology requires defect-free EUV mask manufacturing as one of its foundations. The EUV Mask Infrastructure program (EMI) initiated by SEMATECH has identified an actinic measurement system for the printability analysis of EUV mask defects to ensure defect free mask manufacturing and cost-effective high-volume EUV production as an infrastructural prerequisite for the EUVL roadmap ([1], [2]). The Concept and Feasibility study for the AIMSTM EUV resulted in a feasible tool concept for 16nm defect printability review. The main development program for the AIMSTM EUV has been started at Carl Zeiss leading to a commercialized tool available in 2014. In this paper we will present the status of the progress of the design phase of this development and an infrastructure progress update of the EUV Mask defect printability review.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

First results for hyper NA scanner emulation from AIMS 45-193i

Axel Zibold; Ulrich Strössner; Norbert Rosenkranz; Andrew Ridley; Rigo Richter; Wolfgang Harnisch; Alvina M. Williams

Immersion lithography offers the semiconductor industry the opportunity to extend current ArF processes before switching to shorter wavelengths. As numerical apertures of scanners for hyper NA move above 1.0 with immersion lithography, increased attention must be paid to the photomask or reticle and its wafer printability. Feature sizes on the photomask become increasingly critical as they behave more like partial wire grid polarisers, as they become comparable to, or smaller than the wavelength. Besides challenges to address reticle polarisation effects, lithographers must also consider the polarisation state of the illumination and subsequently the contrast loss for light with a TM polarisation state. Such an effect, also called the vector effect, is caused by the increasing angle of incidence of the diffracted light for larger numerical apertures on the scanner. Therefore, for wafer printing using hyper NA scanners, the industry consensus is that TE polarised illumination must be used to meet the stringent requirements of imaging contrast. In this paper, initial results of measurements using the optical test stand and the alpha tool of a completely new AIMSTM tool for the 45nm node will be presented. The system covers all aspects of immersion and polarisation lithographic emulation. Measurements have been made on binary and phase shift masks with different sizes of features and on programmed defects.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Printability study with polarization based AIMS fab 193i to investigate mask polarization effects

Axel Zibold; Ulrich Stroessner; Eric Poortinga; Rainer Schmid; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch

Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the latter corresponding to the 65nm node at the wafer level.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Advances with the new AIMS fab 193 2nd generation: a system for the 65 nm node including immersion

Axel Zibold; Eric Poortinga; Hans Van Doornmalen; Rainer Schmid; Thomas Scherübl; Wolfgang Harnisch

The Aerial Image Measurement System, AIMS, for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability for critical structures including dense patterns and defects or repairs on masks. The main benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer CD-SEM resist or wafer analysis. By adjustment of numerical aperture (NA), illumination type and partial coherence (σ) to match any given stepper/ scanner, AIMS predicts the printability of 193nm reticles such as binary with, or without OPC and phase shifting. A new AIMS fab 193 second generation system with a maximum NA of 0.93 is now available. Improvements in field uniformity, stability over time, measurement automation and higher throughput meet the challenging requirements of the 65nm node. A new function, “Global CD Map” can be applied to automatically measure and analyse the global CD uniformity of repeating structures across a reticle. With the options of extended depth-of-focus (EDOF) software and the upcoming linear polarisation capability in the illumination the new AIMS fab 193 second generation system is able to cover both dry and immersion requirements for NA < 1. Rigorous simulations have been performed to study the effects of polarisation for imaging by comparing the aerial image of the AIMS to the resist image of the scanner.


19th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2003

Performance of the aerial image measurement system for 157-nm lithography

Peter Kuschnerus; Thomas Engel; Wolfgang Harnisch; Claudia Hertfelder; Axel Zibold; Jan-Peter Urbach; Christof Matthias Schilz; Klaus Eisner

The first Aerial Image Measurement System (AIMSTM) for 157 nm lithography worldwide has been brought into operation successfully. Its performance will be demonstrated by AIMSTM measurements at 157 nm wavelength on binary chrome masks. Several through focus series have been measured in order to calculate the process windows for various structures with feature sizes at mask level of 300 nm and below. The latest results on enhanced illumination stability will be presented and a resolution that will enable an extension of the tool usage down to the 45 nm node. Using off-axis illumination 150 nm lines and spaces mask structures have been resolved.

Collaboration


Dive into the Wolfgang Harnisch's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge