Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Noriaki Takagi is active.

Publication


Featured researches published by Noriaki Takagi.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Study of EUV mask defect repair using FIB method

Tsuyoshi Amano; Noriaki Takagi; Hiroyuki Shigemura; Tsuneo Terasawa; Osamu Suga; Kensuke Shiina; Fumio Aramaki; Anto Yasaka; Yuuichi Inazuki; Naoya Hayashi

We evaluated a new FIB-GAE (Focused Ion Beam-Gas Assisted Etching) repairing process for the absorber defects on EUVL mask. XeF2 gas and H2O gas were used as etching assist agent and etching stop agent respectively. The H2O gas was used to oxidize Ta-nitride side-wall and to inactivate the remaining XeF2 gas after the completion of defect repair. At the Photomask Japan 2008 we had reported that side-etching of Ta-nitride caused CD degradation in EUVL. In the present paper we report on the performance of defect repair by FIB, and of printability using SFET (Small Field Exposure Tool). The samples evaluated, were in form of bridge defects in hp225nm L/S pattern. The cross sectional SEM images certified that the newly developed H2O gas process prevented side-etching damage to TaBN layer and made the side-wall close to vertical. The printability also showed excellent results. There were no significant CD changes in the defocus characterization of the defect repaired region. In its defect repair process, the FIB method showed no signs of scan damage on Cr buffered EUV mask. The repair accuracy and the application to narrow pitched pattern are also discussed.


Proceedings of SPIE | 2011

Evaluation of EUV mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before EUVL is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of ABI (actinic blank inspection) and PI (patterned mask inspection) are higher than that of WI (wafer inspection) in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199nm wavelength patterned mask inspection tool, and wafer EB inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection developed at Selete, are effective in detecting killer defects both at the main pattern and at light-shield border area.


Journal of Micro-nanolithography Mems and Moems | 2011

Evaluation of extreme ultraviolet mask defect using blank inspection, patterned mask inspection, and wafer inspection

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Kazuo Tawarayama; Mari Nozoe; Toshihiko Tanaka; Osamu Suga; Ichiro Mori

The key challenge before extreme ultraviolet lithography is to make defect-free masks, for which it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. We have been developing extreme ultraviolet (EUV) mask infrastructures such as a full-field actinic blank inspection tool and 199 nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact wafer printing. In this paper, by evaluating the printability of programmed phase defects and absorber defects exposed by full-field scanner EUV1, we demonstrate that defect detection sensitivities of actinic blank inspection and patterned mask inspection are higher than that of wafer inspection in HP32nm. The evaluations were done by comparing the detection sensitivities of full-field actinic blank inspection tool, 199 nm wavelength patterned mask inspection tool, and electron beam (EB) wafer inspection tool. And then, based on the native defect analysis of blank/mask, we ascertained that actinic blank inspection and patterned mask inspection are effective in detecting killer defects both at the main pattern and at the light-shield border area.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Printability of EUVL mask defect detected by actinic blank inspection tool and 199-nm pattern inspection tool

Takashi Kamo; Tsuneo Terasawa; Takeshi Yamane; Hiroyuki Shigemura; Noriaki Takagi; Tsuyoshi Amano; Toshihiko Tanaka; Kazuo Tawarayama; Osamu Suga; Ichiro Mori

The key challenge before EUVL is to make defect-free masks hence it is important to identify the root cause of defects, and it is also necessary to establish suitable critical mask defect size for the production of ULSI devices. Selete has been developing EUV mask infrastructures such as a full-field actinic blank inspection tool and 199nm wavelength patterned mask inspection tool in order to support blank/mask supplier in reducing blank/mask defects which impact on wafer printing. In this paper, we evaluate the printability of multilayer defects and of absorber defects exposed by a full-field scanner EUV1, using full-field actinic/non-actinic blank inspection tool and 199nm wavelength patterned mask inspection tool. And based on the results of native defect analysis of blank/mask, we ascertain that blank inspection with actinic is necessary for mask fabrication in order to reduce the risk of missing phase defects, which hardly can be detected by patterned mask inspection tool.


Proceedings of SPIE | 2015

Correlation of actinic blank inspection and experimental phase defect printability on NXE3x00 EUV scanner

Rik Jonckheere; D. Van den Heuvel; Noriaki Takagi; Hidehiro Watanabe; Emily Gallagher

One challenge of extreme ultraviolet (EUV) mask defectivity is the severe printability of defects of the multi-layer (ML) mirror on the mask. These ML-defects are just nanometer high or deep local distortions of this ML mirror. Dedicated blank inspection tools have become available over time. One of them is the actinic blank inspection tool under development through EIDEC (Lasertec ABI). EIDEC and imec have jointly correlated its blank defect detection capability to wafers printed on ASML NXE3100 and NXE3300 scanners. Printing ML-defects were identified by wafer inspection, followed by subsequent repeater analysis, and correlated back to blank inspection. Forward correlation of ABI detections to the printed wafer was also successfully undertaken. The focus of this work has been on native defects. This paper will discuss the obtained results from the perspective of how to use ABI to assess which kind of native ML defects need to be avoided during blank fabrication.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Performance in practical use of actinic EUVL mask blank inspection

Takeshi Yamane; Yongdae Kim; Noriaki Takagi; Tsuneo Terasawa; Tomohisa Ino; Tomohiro Suzuki; Hiroki Miyai; Kiwamu Takehisa; Haruhiko Kusunose

A high-volume manufacturing (HVM) actinic blank inspection (ABI) prototype has been developed, of which the inspection capability for a native defect was evaluated. An analysis of defect signal intensity (DSI) analysis showed that the DSI varied as a result of mask surface roughness. Operating the ABI under a review mode reduced that variation by 71 %, and therefore this operation was made available for precise DSI evaluation. The result also indicated that the defect capture rate was influenced by the DSI variation caused by mask surface roughness. A mask blank was inspected three times by the HVM ABI prototype, and impact of the detected native defects on wafer CD was evaluated. There was observed a pronounced relationship between the DSI and wafer CD; and this means that the ABI tool could detect wafer printable defects. Using the total DSI variation, the capture rate of the smallest defect critical for 16 nm node was estimated to be 93.2 %. This means that most of the critical defects for 16 nm node can be detected with the HVM ABI prototype.


Proceedings of SPIE | 2013

Effect of phase defect shape on ABI signal intensity and defect image intensity on wafer with simulation

Noriaki Takagi; Tsuneo Terasawa; Yukiyasu Arisawa

In this paper, phase defect impact variation, which is caused by the characteristics of defect shapes, is verified by a simulation. Actinic blank inspection (ABI) signal intensity, and defect image intensity on wafer, affected by characteristics changes in the shape of the phase defect, was calculated. ABI signal intensity and defect image intensity on wafer did not remain constant even when the phase defect volume was fixed. According to this simulated result, defect side wall angle and defect volume (with tilted top surface) affected both intensities. When the impacts, caused by phase defect, on ABI signal intensity, and on defect image intensity on wafer, are monitored then shape of the phase defect should be taken into consideration for accurate estimation. Also, through this simulation, a relationship between ABI signal intensity and defect image intensity on wafer was confirmed. The impact variation (which is caused by defect shape change) for both intensities showed similar tendencies. Therefore, it is believed that ABI system is an effective way to capture harmful phase defects affecting the wafer intensity, even when there were defects with various shapes.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

EUV scanner printability evaluation of natural blank defects detected by actinic blank inspection

Noriaki Takagi; Hidehiro Watanabe; Dieter Van den Heuvel; Rik Jonckheere; Emily Gallagher

In this study, on-wafer printability test results of native blank defects on an EUV reticle, previously detected on the ABI (Actinic blank inspection) tool, were interpreted with on-mask analysis. One of the main factors that affects printability is the relative defect position to the absorber pattern. The ABI tool has been used for this purpose, by means of on-mask review. Subsequently, by removing covered defects (blank defects which are covered with absorber pattern), a clear relationship between DSI (ABI defect signal intensity) and printability was confirmed. By considering a relationship between relative defect position and printability precisely, a tentative printability threshold was defined with DSI. This result suggests that DSI has valuable information to define printability threshold, and shows significance of ABI inspection.


Proceedings of SPIE | 2011

EB defect inspection of EUV resist patterned wafer for hp 32 nm and beyond

Mari Nozoe; Toshihiko Tanaka; Takashi Kamo; Shinji Kubo; Tomohiro Tamori; Noriaki Takagi; Takeshi Yamane; Tsuneo Terasawa; Hiroyuki Shigemura; Osamu Suga

It is important to control the defect level of the EUV lithography mask because of pellicle-less. We studied the resist patterned wafer inspection method using EB inspection system. In this paper, the defect detection sensitivity of EB inspection system is quantified using hp 32 nm line and space pattern with about 5 nm LWR (Line Width Roughness). Programmed defects of 13 nm narrowing and 10 nm widening have been detected successfully after the optimization of column and inspection condition. Next, the defects detected by mask inspection system and EB wafer inspection system were compared and were in good agreement for printed killer defects. In these results, EB inspection system is proved to be useful for EUV resist inspection. Further, we evaluated the resist material damage by EB inspection irradiation and indicated the direction of reducing the shrinkage.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Evaluation of the contamination removal capability and multilayer degradation in various cleaning methods

Noriaki Takagi; Toshihisa Anazawa; Iwao Nishiyama; Osamu Suga

In this test, we evaluated the carbon contamination removal capabilities of various kinds of cleaning methods. And we also evaluated the degradation of multilayer (with capping layer) caused by the cleaning process. In the contamination removal test, the contamination was formed by a synchrotron irradiation. And in the degradation test, we evaluated Ru-capping layer and Si-capping layer. In the contamination removal test, the reflectivity recovery was confirmed in all cleaning conditions that were evaluated. However, there were differences among the reflectivity recoveries. In particular, plasma cleaning showed high removal capability. In VUV/O3 cleaning, the oxygen concentration influenced the contamination removal capability. In Si-capping layer, none of the cleaning conditions exhibited any significant reflectance change. On the other hand, in Ru-capping layer, a decrease in reflectance was noticed in VUV/O3 cleaning with an oxygen concentration of 500ppm. In a comparison between Ru-capping layer and Si-capping layer, no significant difference was noticed in SPM cleaning, VUV/O3 with oxygen concentration 45ppm, and in plasma with N2/H2 gas condition.

Collaboration


Dive into the Noriaki Takagi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ichiro Mori

University of Tokushima

View shared research outputs
Researchain Logo
Decentralizing Knowledge