Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where P. Absil is active.

Publication


Featured researches published by P. Absil.


IEEE Electron Device Letters | 2006

Work function of Ni silicide phases on HfSiON and SiO/sub 2/: NiSi, Ni/sub 2/Si, Ni/sub 31/Si/sub 12/, and Ni/sub 3/Si fully silicided gates

Jorge Kittl; M. A. Pawlak; A. Lauwers; C. Demeurisse; Karl Opsomer; K.G. Anil; C. Vrancken; M.J.H. van Dal; A. Veloso; S. Kubicek; P. Absil; Karen Maex; S. Biesemans

A complete determination of the effective work functions (WF) of NiSi, Ni/sub 2/Si, Ni/sub 31/Si/sub 12/ and Ni/sub 3/Si on HfSiON and on SiO/sub 2/ is presented. Conditions for formation of fully silicided (FUSI) gates for NiSi/sub 2/, NiSi, Ni/sub 3/Si/sub 2/, Ni/sub 2/Si, Ni/sub 31/Si/sub 12/ and Ni/sub 3/Si crystalline phases were identified. A double thickness series (HfSiON/SiO/sub 2/) was used to extract WF on HfSiON accounting for charge effects. A strong effect on WF of Ni content is observed for HfSiON, with higher WF for the Ni-rich silicides suggesting unpinning of the Fermi level. A mild dependence is observed for SiO/sub 2/. While all Ni-rich silicides have adequate WF for pMOS applications, Ni/sub 2/Si is most attractive due to its low formation temperature, lower volume expansion and ease of integration. Similar threshold voltages (-0.3 V) were obtained on Ni/sub 2/Si and Ni/sub 31/Si/sub 12/ FUSI HfSiON pMOSFETS.


symposium on vlsi technology | 2005

Layout impact on the performance of a locally strained PMOSFET

G. Eneman; Peter Verheyen; Rita Rooyackers; Faran Nouri; Lori D. Washington; Robin Degraeve; B. Kaczer; Victor Moroz; A. De Keersgieter; R. Schreutelkamp; Mark N. Kawaguchi; Yihwan Kim; A. Samoilov; Lisa M. Smith; P. Absil; K. De Meyer; M. Jurczak; S. Biesemans

We present a study on the layout dependence of a SiGe S/D PMOSFET technology. While 65% increase in drive current is obtained for 45nm gate length transistors with large active areas, measurements and simulations show that this improvement may be seriously degraded when transistor dimensions, such as the source-drain length (L/sub s/d/) and the device width are further scaled. TDDB and NBTI measurements show that the oxide reliability is not degraded for this technology.


IEEE Electron Device Letters | 2005

Performance improvement of tall triple gate devices with strained SiN layers

Nadine Collaert; A. De Keersgieter; K.G. Anil; Rita Rooyackers; G. Eneman; M. Goodwin; Brenda Eyckens; Erik Sleeckx; J.-F. de Marneffe; K. De Meyer; P. Absil; M. Jurczak; S. Biesemans

In this letter, we investigate the influence of tensile and compressive SiN layers on the device performance of triple-gate devices with 60-nm fin height and fin widths down to 35 nm. It will be shown that even for narrow fin devices, the nMOS performance improvement can be as high as 20% with tensile strained layers. The improvement seen for pMOS is lower, about 10%. Next to that both compressive as well as tensile SiN layers can increase the pMOS on-state current.


symposium on vlsi technology | 2005

25% drive current improvement for p-type multiple gate FET (MuGFET) devices by the introduction of recessed Si/sub 0.8/Ge/sub 0.2/ in the source and drain regions

Peter Verheyen; Nadine Collaert; Rita Rooyackers; R. Loo; Denis Shamiryan; A. De Keersgieter; G. Eneman; Frederik Leys; A. Dixit; M. Goodwin; Yong Sik Yim; Matty Caymax; K. De Meyer; P. Absil; M. Jurczak; S. Biesemans

This paper shows, for the first time, the successful introduction of recessed, strained Si/sub 0.8/Ge/sub 0.2/ in the source and drain regions of pMOS MuGFET devices, improving the on-state current of these devices by 25%, at a fixed off-state condition. The improvement is shown to be a combined effect of compressive stress introduced along the channel, and of a reduced series resistance.


IEEE Electron Device Letters | 2006

CMOS Integration of Dual Work Function Phase-Controlled Ni Fully Silicided Gates (NMOS:NiSi, PMOS:

Jorge Kittl; A. Lauwers; A. Veloso; T. Hoffmann; S. Kubicek; Masaaki Niwa; M.J.H. van Dal; M. A. Pawlak; S. Brus; C. Demeurisse; C. Vrancken; P. Absil; S. Biesemans

The CMOS integration of dual work function (WF) phase-controlled Ni fully silicided (FUSI) gates on HfSiON was investigated. For the first time, the integration of NiSi FUSI gates on n-channel MOS (NMOS) and Ni<sub>31</sub>Si<sub>12</sub> FUSI gates on p-channel MOS (PMOS) with good V<sub>t</sub> control to short gate lengths (L<sub>G</sub>=50 nm, linear V<sub>t</sub> of 0.49 V for NMOS, and -0.37 V for PMOS) is demonstrated. A poly-Si etch-back step was used to reduce the poly-Si height on PMOS devices, allowing for the linewidth-independent formation of NiSi on NMOS and Ni-rich silicides on PMOS with a two-step rapid thermal processing (RTP) silicidation process. The process space for the scalable formation of NiSi on NMOS and Ni<sub>2</sub>Si or Ni<sub>31 </sub>Si<sub>12</sub> on PMOS devices was investigated. It was found that within the process window for linewidth-independent NiSi FUSI formation on 100-nm poly-Si NMOS devices, it is possible to control the silicide formation on PMOS devices by adjusting the poly-Si etch-back and RTP1 conditions to obtain either Ni<sub>2</sub>Si or Ni<sub>31</sub>Si<sub>12</sub> FUSI gates. A reduction in the PMOS threshold voltage of 90 mV and improved device performance (18% I<sub>on </sub> improvement at I<sub>off</sub>=100 nA/mum) was obtained for Ni <sub>31</sub>Si<sub>12</sub> compared to Ni<sub>2</sub>Si FUSI gates, as well as a V<sub>t</sub> reduction of 350 mV when compared to a single WF flow using NiSi FUSI gates on PMOS


international electron devices meeting | 2005

\hbox{Ni}_{2}\hbox{Si}

Peter Verheyen; G. Eneman; Rita Rooyackers; Roger Loo; L. Eeckhout; D. Rondas; Frederik Leys; J. Snow; D. Shamiryan; M. Demand; Th.Y. Hoffman; M. Goodwin; H. Fujimoto; C. Ravit; B.-C. Lee; Matty Caymax; K. De Meyer; P. Absil; M. Jurczak; S. Biesemans

This paper demonstrates for the first time the integration of an HfO2/TiN/poly gate stack and a recessed SiGe S/D module. It also shows that by combining the SiGe stressor with a compressive nitride contact etch stop layer, it is possible to reach improvements in IDSAT of up to 65%, showing that the various strain mechanisms are additive on advanced gate stacks. This way an IDSAT of 422 muA/mum at 20pA/mum I OFF and VDD = 1.1 V can be obtained when a 25% SiGe S/D module is combined with a 1.5 GPa compressive sCESL layer


IEEE Electron Device Letters | 2006

, and

J.D. Chen; H.Y. Yu; M.F. Li; D.L. Kwong; M.J.H. van Dal; Jorge Kittl; A. Lauwers; P. Absil; M. Jurczak; S. Biesemans

In this letter, an n-type near-band edge fully silicided (FUSI) material-Yb-doped Ni FUSI is demonstrated for the first time. By doping Yb into Ni FUSI, it is shown that while maintaining the same equivalent oxide thickness and the similar device reliability, the work function of Ni FUSI (on SiON dielectrics) could be tuned from 4.72 to 4.22 eV. Yb-doped Ni FUSI is promising for the gate electrode application in n-MOSFETs.


international electron devices meeting | 2005

\hbox{Ni}_{31}\hbox{Si}_{12}

Hao Yu; J. Chen; M.F. Li; S.J. Lee; D.L. Kwong; M.J.H. van Dal; Jorge Kittl; A. Lauwers; E. Augendre; S. Kubicek; Chao Zhao; Hugo Bender; Bert Brijs; Luc Geenen; A. Benedetti; P. Absil; M. Jurczak; S. Biesemans

The key result in this work is the experimental demonstration that adding Yb to Ni FUSI allows for tuning the work function (WF) from midgap (NiSi ~4.72 eV) to n-type band-edge (~4.22 eV) on thin SiON, maintaining same EOT. In addition, we did not observe any interface adhesion issues found in other reports when WF is modulated by dopants such as As or Sb. We also show that reliability is similar to Ni FUSI. This is a promising technique for nFET gate electrode formation and enables dual gate CMOS technologies for 45 nm and beyond in a manufacturable way


IEEE Electron Device Letters | 2006

) on HfSiON

Jorge Kittl; A. Lauwers; T. Hoffmann; A. Veloso; S. Kubicek; M. Niwa; M.J.H. van Dal; M. A. Pawlak; C. Demeurisse; C. Vrancken; Bert Brijs; P. Absil; S. Biesemans

The scalability of Ni fully silicided (FUSI) gate processes to short gate lengths was studied for NiSi, Ni<sub>2</sub>Si, and Ni<sub>31 </sub>Si<sub>12</sub>. It is shown that the control of the deposited Ni-to-Si ratio is not effective for phase and V<sub>t</sub> control at short gate lengths. A transition to Ni-richer phases at short gate lengths was found for nonoptimized NiSi and Ni<sub>2</sub>Si processes with excessive thermal budgets, resulting in significant V<sub>t</sub> shifts for devices on HfSiON consistent with the difference in work function among the Ni silicide phases. Linewidth-independent phase control with smooth V<sub>t</sub> rolloff characteristics was demonstrated for NiSi, Ni<sub>2</sub>Si, and Ni<sub>31</sub>Si<sub>12 </sub> FUSI gates by controlling the Ni-to-Si reacted ratio through optimization of the thermal budget of silicidation (prior to selective Ni removal). Phase characterization over a wide temperature range indicated that the process windows for scalable NiSi and Ni<sub>2</sub>Si are less than or equal to 25 degC, whereas a single-phase Ni<sub>31</sub>Si<sub>12</sub> is obtained over an ~200degC temperature range


IEEE Electron Device Letters | 2007

Demonstration of recessed SiGe S/D and inserted metal gate on HfO/sub 2/ for high performance pFETs.

Adelina Shickova; B. Kaczer; Peter Verheyen; G. Eneman; E.S. Andres; M. Jurczak; P. Absil; Herman Maes; G. Groeseneken

In this letter, we investigate the effects of process-induced strain on negative bias temperature instability (NBTI) by performing a comparative study of devices with and without process-induced strain for poly-Si/SiON gate stacks. Devices with SiGe source/drain with different processing sequences and devices with a combination of SiGe S/D and compressive contact etch stop layer (CESL) were studied and compared to reference devices. We decouple the effect of processing conditions in order to ensure a correct interpretation of the results. In contrast with the previous reports, which did not consider the impact of processing conditions, this letter demonstrates that, when initial threshold voltage differences are taken into account and comparisons are performed at the same oxide electric field, no significant degradation of intrinsic NBTI behavior is found for devices with a process-induced strain. In addition, we performed an Arrhenius study showing similar activation energies for devices with and without process-induced strain, suggesting similar degradation mechanism. The results indicate that process-induced strain does not create favorable conditions for additional interface state creation

Collaboration


Dive into the P. Absil's collaboration.

Top Co-Authors

Avatar

T. Hoffmann

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

A. Lauwers

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

C. Vrancken

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Brus

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Kubicek

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

K. De Meyer

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge