Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Patrick A. Kearney is active.

Publication


Featured researches published by Patrick A. Kearney.


Journal of Applied Physics | 2001

Investigation of the amorphous-to-crystalline transition in Mo/Si multilayers

Sasa Bajt; Daniel G. Stearns; Patrick A. Kearney

The microstructure of Mo/Si multilayers grown by magnetron and ion beam sputter deposition has been characterized over a range of Mo layer thicknesses. We observe an abrupt amorphous-to-crystalline transition in the Mo layers at a thickness of ∼2 nm. The transition exhibits several interesting features including a large decrease in the thickness of the Si-on-Mo interlayer and a significant increase in the roughness of the multilayer. We present an explanation for the transition behavior in terms of a critical thickness for the nucleation of Mo crystallites.


Journal of Vacuum Science & Technology B | 1997

Mask blanks for extreme ultraviolet lithography: Ion beam sputter deposition of low defect density Mo/Si multilayers

Patrick A. Kearney; C. E. Moore; S. I. Tan; Stephen P. Vernon; R. A. Levesque

We report on the growth of low defect density Mo/Si multilayer (ML) coatings. The coatings were grown in a deposition system specifically designed for extreme ultraviolet lithography mask blank fabrication. Complete, 81 layer, high reflectance Mo/Si ML coatings were deposited on 150 mm diam (100) oriented Si wafer substrates using ion beam sputter deposition. Process added defect densities correspond to 2×10−2/cm−2 larger than 0.13 μm as measured by optical scattering. This represents a reduction in defect density of Mo/Si ML coatings by a factor of 105.


Journal of Vacuum Science & Technology B | 2006

Actinic inspection of extreme ultraviolet programed multilayer defects and cross-comparison measurements

Kenneth A. Goldberg; Anton Barty; Yanwei Liu; Patrick A. Kearney; Yoshihiro Tezuka; Tsuneo Terasawa; John S. Taylor; Hak-Seung Han; O. R. Wood

The production of defect-free mask blanks remains a key challenge for extreme ultraviolet (EUV) lithography. Integral to this effort is the development and characterization of mask inspection tools that are sensitive enough to detect critical defects with high confidence. Using a single programed-defect mask with a range of buried bump-type defects, the authors report a comparison of measurements made in four different mask inspection tools: one commercial tool using 488nm wavelength illumination, one prototype tool that uses 266nm illumination, and two noncommercial EUV “actinic” inspection tools. The EUV tools include a dark field imaging microscope and a scanning microscope. Their measurements show improving sensitivity with the shorter wavelength non-EUV tool, down to 33nm spherical-equivalent-volume diameter, for defects of this type. Measurements conditions were unique to each tool, with the EUV tools operating at a much slower inspection rate. Several defects observed with EUV inspection were below...


Journal of Vacuum Science & Technology B | 1998

At-wavelength detection of extreme ultraviolet lithography mask blank defects

Seongtae Jeong; Mourad Idir; Yun Lin; Lewis Johnson; Seno Rekawa; Michael S. Jones; Paul Denham; Phil Batson; Rick Levesque; Patrick A. Kearney; Pei-Yang Yan; Eric M. Gullikson; James H. Underwood; Jeffrey Bokor

We report the design and operation of an at-wavelength system for extreme ultraviolet lithography mask blank defect detection. Initial results demonstrate sensitivity to submicron size phase defects. The performance of the system is compared with the practical requirements for a mask blank inspection system in terms of the sensitivity and scanning time.


Emerging lithographic technologies. Conference | 1999

EUV mask patterning approaches

Pei-Yang Yan; Guojing Zhang; Patrick Kofron; Jenn Chow; Alan R. Stivers; Edita Tejnil; Gregory Frank Cardinale; Patrick A. Kearney

In the last two years, we have developed tow Extreme UV (EUV) mask fabrication process flows, namely the substractive metal and the damascene process flows, utilizing silicon wafer process tools. Both types of EUV mask have been tested in a 10X reduction EUV exposure system. Dense lines less than 100 nm in width have been printed using both 0.6 micrometers thick top surface imaging resists and ultra-thin DUV resist. The EUV masks used in EUV lithography development work have been routinely made by using the current wafer process tools. The two EUV mask processes that we have developed both have some advantages and disadvantages. The simpler subtractive metal process is compatible with the current reticle defect repair methodologies. On the other hand, the more complex damascene process facilitates mask cleaning and particle inspection.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Sudharshanan Raghunathan; Obert Wood; Pawitter Mangat; Erik Verduijn; Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Kenneth A. Goldberg; Markus P. Benk; Patrick A. Kearney; Zachary Levinson; Bruce W. Smith

Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECHs second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optim...


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Extreme Ultraviolet Lithography - Reflective Mask Technology

Christopher C. Walton; Patrick A. Kearney; Paul B. Mirkarimi; J. Bowers; Charles Cerjan; Abbie L. Warrick; Karl Wilhelmsen; Eric R. Fought; Craig E. Moore; Cindy C. Larson; Sherry L. Baker; Scott C. Burkhart; Scott Daniel Hector

EUVL mask blanks consist of a distributed Bragg reflector made of 6.7 nm-pitch bi-layers of Mo and Si deposited upon a precision Si or glass substrate. The layer deposition process has been optimized for low defects, by application of a vendor-supplied but highly modified ion-beam sputter deposition system. This system is fully automated using SMIF technology to obtain the lowest possible environmental- and handling-added defect levels. Originally designed to coat 150 mm substrates, it was upgraded in July 1999 to 200 mm and has coated runs of over 50 substrates at a time with median added defects > 100 nm below 0.05/cm2. These improvements have resulted from a number of ion-beam sputter deposition system modifications, upgrades, and operational changes, which will be discussed. Success in defect reduction is highly dependent upon defect detection, characterization, and cross- platform positional registration. We have made significant progress in adapting and extending commercial tools to this purpose, and have identified the surface scanner detection limits for different defect classes, and the signatures of false counts and non-printable scattering anomalies on the mask blank. We will present key results and how they have helped reduce added defects. The physics of defect reduction and mitigation is being investigated by a program on multilayer growth over deliberately placed perturbations (defects) of varying size. This program includes modeling of multilayer growth and modeling of defect printability. We developed a technique for depositing uniformly sized gold spheres on EUVL substrates, and have studied the suppression of the perturbations during multilayer growth under varying conditions. This work is key to determining the lower limit of critical defect size for EUV Lithography. We present key aspects of this work. We will summarize progress in all aspects of EUVL mask blank development, and present detailed results on defect reduction and mask blank performance at EUV wavelengths.


Society of Photo-Optical Instrumentation Engineers 18th Annual BACUS Symposium on Photomask Technology and Management, Redwood City, CA, September 16-18, 1998 | 1998

Masks for extreme ultraviolet lithography

Stephen P. Vernon; Patrick A. Kearney; William M. Tong; Shon Prisbrey; Cindy C. Larson; Craig E. Moore; Frank J. Weber; Gregory Frank Cardinale; Pei-Yang Yan; Scott Daniel Hector

In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces -- including the mask. The EUV mask has a unique architecture -- it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state- of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Advances in low-defect multilayers for EUVL mask blanks

James A. Folta; J. Courtney Davidson; Cindy C. Larson; Christopher C. Walton; Patrick A. Kearney

Low-defect multilayer coatings are required to fabricate mask blanks for Extreme Ultraviolet Lithography (EUVL). The mask blanks consist of high reflectance EUV multilayers on low thermal expansion substrates. A defect density of 0.0025 printable defects/cm2 for both the mask substrate and the multilayer is required to provide a mask blank yield of 60 percent. Current low defect multilayer coating technology allows repeated coating-added defect levels of 0.05/cm2 for defects greater than 90 nm polystyrene latex sphere (PSL) equivalent size for lots of 20 substrates. Extended clean operation of the coating system at levels below 0.08/cm2 for 3 months of operation has also been achieved. Two substrates with zero added defects in the quality area have been fabricated, providing an existence proof that ultra low defect coatings are possible. Increasing the ion source-to-target distance from 410 to 560 mm to reduce undesired coating of the ion source caused the defect density to increase to 0.2/cm2. Deposition and etching diagnostic witness substrates and deposition pinhole cameras showed a much higher level of ion beam spillover (ions missing the sputter target) than expected. Future work will quantify beam spillover, and test designs to reduce spillover, if it is confirmed to be the cause of the increased defect level. The LDD system will also be upgraded to allow clean coating of standard format mask substrates. The upgrade will confirm that the low defect process developed on Si wafers is compatible with the standard mask format 152 mm square substrates, and will provide a clean supply of EUVL mask blanks needed to support development of EUVL mask patterning processes and clean mask handling technologies.


24th Annual International Symposium on Microlithography, Society of Photo-Optical Instrumentation Engineers, Santa Clara, CA, March 14-19, 1999 | 1999

Low-defect reflective mask blanks for extreme Ultraviolet Lithography

Scott C. Burkhart; Charles Cerjan; Patrick A. Kearney; Paul B. Mirkarimi; Christopher C. Walton; Avijit K. Ray-Chaudhuri

EUVL is an emerging technology for fabrication of sub-100 nm feature sizes on silicon, following the SIA roadmap well into the 21st Century. The specific EUVL system described is a scanned, projection lithography system with a 4:1 reduction, using a laser plasma EUV source. The mask and all of the system optics are reflective, multilayer mirrors which function in the extreme UV at 13.4 nm wavelength. Since the masks are imaged to the wafer exposure plane, mask defects greater than 80 percent of the exposure plane CD will in many cases render the mask useless, whereas intervening optics can have defects which are not a printing problem. For the 100 nm node, we must reduce defects to less than 0.01/cm2 at 80 nm or larger to obtain acceptable mask production yields. We have succeeded in reducing the defects to less than 0.1/cm2 for defects larger than 130 nm detected by visible light inspection tools, however our program goal is to achieve 0.01/cm2 in the near future. More importantly though, we plan to have a detailed understanding of defect origination and the effect on multilayer growth in order to mitigate defects below the ion-beam multilayer deposition tool, details of the defect detection and characterization facility, and progress on defect printability modeling.

Collaboration


Dive into the Patrick A. Kearney's collaboration.

Top Co-Authors

Avatar

Christopher C. Walton

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

James A. Folta

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

James H. Underwood

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Cindy C. Larson

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Top Co-Authors

Avatar

John S. Taylor

Lawrence Livermore National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge