Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Richard A. Ferguson is active.

Publication


Featured researches published by Richard A. Ferguson.


IEEE Transactions on Semiconductor Manufacturing | 2000

Level-specific lithography optimization for 1-Gb DRAM

Alfred K. K. Wong; Richard A. Ferguson; Scott M. Mansfield; Antoinette F. Molless; Donald J. Samuels; Ralf Schuster; Alan C. Thomas

A general level-specific lithography optimization methodology is applied to the critical levels of a 1-Gb DRAM design at 175- and 150-nm ground rules. This three-step methodology-ruling out inapplicable approaches by physical principles, selecting promising techniques by simulation, and determining actual process window by experimentation-is based on process latitude quantification using the total window metric. The optimal lithography strategy is pattern specific, depending on the illumination configuration, pattern shape and size, mask technology, mask tone, and photoresist characteristics. These large numbers of lithography possibilities are efficiently evaluated by an accurate photoresist development bias model. Resolution enhancement techniques such as phase-shifting masks, annular illumination and optical proximity correction are essential in enlarging the inadequate process latitude of conventional lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 1996

Approximate models for resist processing effects

Timothy A. Brunner; Richard A. Ferguson

Process windows are frequently generated from simulated aerial image profiles by use of a threshold model for the resist process, an assumption which is not accurate for many processes. In this paper, we present new computationally efficient methods for incorporating the effects of resist processing into simulated images. The First Order Model of development leads to the simple result that the resist linewidth W is smaller than the threshold linewidth Wthresh by an amount (Delta) W approximately equals 2 [ln(D(gamma) s)-1]/((gamma) s), where D is the resist thickness, (gamma) is the resist process non-linearity and s is the log-slope of the image. A Second Order Model based on a segmented development path is also presented. These models allow the prediction of resist linewidths based on calculated image profiles for any wet developed process: optical, X-ray or e-beam lithography, both positive and negative resists. The predictions of these models show good agreement with full PROLITH/2 resist profile simulations. We have also incorporated a Fickian diffusion of the intensity profile into our model, to account for acid diffusion, stepper vibration, lens aberrations, and other effects which reduce process resolution. Experimental process windows are well matched by such models, and are significantly different than threshold model predictions.


SPIE's 1995 Symposium on Microlithography | 1995

Pattern-dependent correction of mask topography effects for alternating phase-shifting masks

Richard A. Ferguson; Alfred K. K. Wong; Timothy A. Brunner; Lars W. Liebmann

Strategies for modifying both mask fabrication processes and design data for alternating phase-shifting masks to account for mask scattering phenomena are explored. Results were derived from the rigorous solution of Maxwells equations using the EMFlex and TEMPEST programs for an etched-quartz fabrications process. By importing the resulting diffracted orders into VCIMAGE, full vector calculation of the aerial image from mask to wafer was obtained. From the rigorous mask simulations, the 0th and 1st diffracted orders were translated into an effective transmission and phase based on a thin-mask approximation. With this analysis technique, a 0.25 micrometers line-space grating for the baseline etched-quartz process (4X magnification) showed a transmission error of 7.2% and a phase error of 1.6 degree(s). In order to compensate for these errors, etch-back fabrication techniques, in which the quartz was recessed beneath the chrome, were evaluated to determine the extent to which the transmission and phase errors could be reduced. For the dual etch-back process typically in use today, a residual transmission error of approximately 0.5% could not be completely removed, even for etch-back depths greater than 200 nm. Correction of the phase errors was achieved by reducing the reactive-ion etch depth by 2-3 nm. Design manipulation, in which the 180 degree(s) opening was increased in size, required feature-dependent phase errors as large as 1 degree(s) were present.


23rd Annual International Symposium on Microlithography | 1998

Lithographic effects of mask critical dimension error

Alfred K. K. Wong; Richard A. Ferguson; Lars W. Liebmann; Scott M. Mansfield; Antoinette F. Molless; Mark O. Neisser

Magnification of mask dimensional error is examined and quantified in terms of the mask error factor (MEF) for line and hole patterns on three types of masks: chrome-on-glass (COG), attenuated phase-shifting mask (PSM) and alternating PSM. The MEF is unity for large features, but increases rapidly when the critical dimension (CD) is less than 0.5 (lambda) /NA for line-space patterns and 0.75 (lambda) /NA for contacts. In general dark-field spaces exhibit higher sensitivity to mask dimensional error than light-field lines. Sensitivity of attenuated PSMs is similar to COG masks, even for applications in which attenuated PSMs provide benefits in process latitude. Alternating PSMs have the lowest MEF values. Although the MEF has only a slight dependence on feature nesting for contacts, dense lines and spaces exhibit markedly higher MEF values than isolated features. The MEF of a 0.35 (lambda) /NA isolated line is 1.6 whereas that of a dense line of the same dimension is 4.3 illumination is effective in reducing the mask error sensitivity of dense lines. Dose variation causes changes in the MEF of contacts but has little effect on line-space features; focus error degrades (increases the value of) the MEF of both pattern types. A high diffusion and low contrast photoresist process also worsens the MEF. Consequences of mask CD error amplification include tightening of mask specification, design grid reduction, shift in optimal mask bias and enhanced defect printability.


SPIE's 1994 Symposium on Microlithography | 1994

Application of the aerial image measurement system (AIMS)TM to the analysis of binary mask imaging and resolution enhancement techniques

Ronald M. Martino; Richard A. Ferguson; Russell A. Budd; John L. Staples; Lars W. Liebmann; Antoinette F. Molless; Derek B. Dove; J. Tracy Weed

The newly developed Aerial Image Measurement System (AIMSTM*) was used to quantify the lithographic benefits of several resolution enhancement techniques as compared to standard binary mask imaging. This system, a microscope based stepper emulator, permits rapid characterization of mask images from both binary and phase shifted mask (PSM) patterns at multiple focal planes. The resultant images are captured digitally with a CCD camera and analyzed using an exposure-defocus tree technique to quantify the depth-of-focus as a function of exposure latitude. The AIMS is used to extract both phase and transmission errors from captured aerial images of all the masks evaluated. AIMS results are compared to wafer electrical linewidth data. A 0.5 numerical aperture (NA) DUV stepper was used with a partial coherence of 0.6 combined with IBM APEX-E resist process. Collected data were analyzed using techniques identical to the AIMS analysis, allowing for a high level of consistency. Comparative data focused on binary mask imaging for the verification of the AIMS results. Trends associated with feature sizes and types are discussed.


IEEE Transactions on Semiconductor Manufacturing | 2000

The mask error factor in optical lithography

Alfred K. K. Wong; Richard A. Ferguson; Scott M. Mansfield

The primary cause of greater than unity mask error factor (MEF) is degradation of image integrity. Mathematical description of image formation reveals the gradual loss of image shape control by photomask features as the critical dimension decreases below 0.8(/spl lambda//NA). The growing contribution of mask critical dimension error to line-width variation prompts generalization of the conventional two-dimensional (2-D) exposure-defocus window (ED window) to a three-dimensional (3-D) mask-exposure-defocus volume (ED volume), adding mask tolerance to exposure latitude and depth-of-focus as the important parameters of a process. The increase in MEF with feature nesting means that the relative importance of sources of line-width variation changes with pattern pitch. Mask improvement is the most effective means to reduce line-width variation for dense features, but lens quality is the most significant factor affecting line-width control for sparse patterns. The approximately 20% higher MEF of dark-field masks, low MEF of alternating phase-shifting masks, and relatively high MEF of assist features all have ramifications on lithography strategies for printing sparse lines. The MEF does not simply indicate a need for high-quality masks, it also sheds light on the critical areas in which improvements are needed for successful lithography, and the disciplines that need to cooperate for successful device fabrication.


Journal of Vacuum Science & Technology B | 1990

Modeling and simulation of a deep‐ultraviolet acid hardening resist

Richard A. Ferguson; John M. Hutchinson; Chris A. Spence; Andrew R. Neureuther

A modeling methodology for a class of resist materials that rely on the acid catalyzed crosslinking of the resin matrix during a post‐exposure bake is presented. This methodology is used to derive a complete and quantitative model for a production worthy deep‐ultraviolet (UV) resist, Shipley XP‐8843 (also known commercially as SNR 248). The modeling is based on the chemical and physical mechanisms which determine resist behavior during the exposure, post‐exposure bake, and development processes. The IR absorption bands near 990 and 1070 cm−1 are used to monitor the extent of crosslinking during the bake as a function of bake temperature, bake time, and photogenerated acid concentration. The post‐exposure bake model consists of a primary crosslinking reaction with an order of 1.42 in photo‐generated acid and an acid loss reaction to account for dose dependent saturation of the crosslinking. Resist development is modeled by relating the dissolution rate to the extent of crosslinking during the post‐exposure...


13th Annual BACUS Symposium on Photomask Technology and Management | 1994

Application of an Aerial Image Measurement System to Mask Fabrication and Analysis

Richard A. Ferguson; Ronald M. Martino; Russell A. Budd; John L. Staples; Lars W. Liebmann; Derek B. Dove; J. Tracy Weed

Application of an Aerial Image Measurement System (AIMSTM) to binary and phase- shift mask fabrication and evaluation is described. The AIMS tool, an optical system which measures the aerial image directly from a mask, provides rapid feedback on lithographic performance for a variety of stepper configurations through modifications of the wavelength, numerical aperture, and illuminator design. The AIMS tool has been applied during the implementation of an alternating phase-shift mask (PSM) fabrication process in order to understand the impact of the etched-quartz sidewall on lithographic performance. AIMS measurements were used to extract the effective phase and transmission as a function of phase- etch depth as well as post-etch treatment condition. A set of basic test structures are proposed which can be used in conjunction with the AIMS tool to automate the extraction of transmission, phase, and second-level overlay for phase-shifting processes such as alternating and attenuating PSM.


Optical Microlithography X | 1997

Understanding across chip line width variation: the first step toward optical proximity correction

Lars W. Liebmann; Antoinette F. Molless; Richard A. Ferguson; Alfred K. K. Wong; Scott M. Mansfield

The prerequisite to successful optical proximity correction is an in depth understanding of the relevant parameters leading to patterning inaccuracies. The work presented in this paper is based on a test chip specifically designed to investigate sources of 1D line width errors, deemed the most critical for optical proximity correction. Data are presented relating line width errors to pitch and pattern density and highlighting the complex interactions between these two main contributors of line width error. The goal of this paper is not to derive a mathematical model for line width variation in etched polysilicon line structures but merely to qualitatively bound the complex interaction of pitch and pattern density based line width variation. The results of this investigation indicate that pattern density has a very significant effect on line width and that lithography, not just reactive ion etch, is significantly impacted by pattern density.


SPIE's 1994 Symposium on Microlithography | 1994

Some image modeling issues for I-line, 5X phase-shifting masks

Gregory L. Wojcik; John Mould; Richard A. Ferguson; Ronald M. Martino; K. K. Low

The current image-theoretical basis for phase shifting masks (PSMs) relies on the scalar and Kirchhoff approximations, which neglect vector wave and edge diffraction effects around the mask. In this paper we use EMFlex finite element modeling to quantify vector diffraction effects, and show a method for modeling broadband illumination using the codes transient (optical pulse) capability and the Fourier transform in time. Simulations indicate that: the Kirchhoff approximation applied to etched quartz PSMs can lead to unacceptable errors due to a dark boundary layer on the quartz sidewall; diffraction produces relatively strong vector wave fields near feature edges but their contribution to the lithographic image is negligible; and the paraxial partial coherence approximation is generally valid for 4x or 5x projection systems. We discuss examples illustrating needs for better PSM metrology and phase measurements.

Researchain Logo
Decentralizing Knowledge