Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sean D. Burns is active.

Publication


Featured researches published by Sean D. Burns.


Proceedings of SPIE | 2007

Dark Field Double Dipole Lithography (DDL) for back-end-of-line processes

Martin Burkhardt; Sean D. Burns; Derren Dunn; Timothy A. Brunner; Jungchul Park

The back-end-of-line metallization of a state-of-the-art CMOS process is the most critical level regarding the final density of the chip. While the gate level requires the most emphasis on linewidth control and critical dimension uniformity (CDU) of all lithography steps, the smallest pitch in the process is typically printed on the first metallization level. For this reason, a natural starting point for application of dipole lithography is not the gate level, which in many cases can be printed with quadrupole and other off-axis schemes with good process latitude, but the metal level with pitches that are typically between 10 and 25% smaller than the gate pitch. If the same generation exposure tool is used for both gate and metallization levels, then a more aggressive off-axis illumination is needed for the metal level. In this paper, we investigate the application of double dipole lithography on the first metallization level (M1). We propose a simple bias to account for EMF effects compared to the thin mask approximation which is used in optical proximity correction. We discuss resist and BARC processes that are required at this pitch, and describe process windows. Using a 1.2 NA lithography system, we investigate the performance of this lithography technique at a pitch of 100 nm.


Emerging Lithographic Technologies VIII | 2004

Mesoscale modeling for SFIL simulating polymerization kinetics and densification

Ryan L. Burns; Stephen C. Johnson; Gerard M. Schmid; Eui K. Kim; Michael D. Dickey; Jason E. Meiring; Sean D. Burns; Nicholas A. Stacey; C. Grant Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles. This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.


Journal of Vacuum Science & Technology B | 2005

Effects of etch barrier densification on step and flash imprint lithography

Stephen C. Johnson; Ryan L. Burns; Eui Kyoon Kim; Michael D. Dickey; Gerard M. Schmid; Jason E. Meiring; Sean D. Burns; C. G. Willson; Diana Convey; Yi Wei; Peter Fejes; Kathleen A. Gehoski; David P. Mancini; Kevin J. Nordquist; William J. Dauksher; Douglas J. Resnick

Previous work with the mechanical properties of step and flash imprint lithography etch barrier materials has shown bulk volumetric shrinkage trends that could impact imprinted feature dimensions and profile. This article uses mesoscopic and finite element modeling techniques to model the behavior of the etch barrier during polymerization. Model results are then compared to cross section images of template and etch barrier. Volumetric shrinkage is seen to impact imprinted feature profiles largely as a change in feature height.


Proceedings of SPIE | 2010

EUV lithography at the 22nm technology node

Obert Wood; Chiew-seng Koay; Karen Petrillo; Hiroyuki Mizuno; Sudhar Raghunathan; John C. Arnold; Dave Horak; Martin Burkhardt; Gregory McIntyre; Yunfei Deng; Bruno La Fontaine; Uzo Okoroanyanwu; Tom Wallow; Guillaume Landie; Theodorus E. Standaert; Sean D. Burns; Christopher J. Waskiewicz; Hirohisa Kawasaki; James Chen; Matthew E. Colburn; Bala Haran; Susan S.-C. Fan; Yunpeng Yin; Christian Holfeld; Jens Techel; Jan-Hendrik Peters; Sander Bouten; Brian Lee; Bill Pierson; Bart Kessels

We are evaluating the readiness of extreme ultraviolet (EUV) lithography for insertion into production at the 15 nm technology node by integrating it into standard semiconductor process flows because we believe that device integration exercises provide the truest test of technology readiness and, at the same time, highlight the remaining critical issues. In this paper, we describe the use of EUV lithography with the 0.25 NA Alpha Demo Tool (ADT) to pattern the contact and first interconnect levels of a large (~24 mm x 32 mm) 22 nm node test chip using EUV masks with state-of-the-art defectivity (~0.3 defects/cm2). We have found that: 1) the quality of EUVL printing at the 22 nm node is considerably higher than the printing produced with 193 nm immersion lithography; 2) printing at the 22 nm node with EUV lithography results in higher yield than double exposure double-etch 193i lithography; and 3) EUV lithography with the 0.25 NA ADT is capable of supporting some early device development work at the 15 nm technology node.


Journal of Vacuum Science & Technology B | 2002

Advancements to the critical ionization dissolution model

Sean D. Burns; Gerard M. Schmid; Pavlos C. Tsiartas; C. Grant Willson; Lewis W. Flanagin

The microlithographic process is dependent upon the dissolution of acidic polymers in aqueous base. The fundamental mechanism that governs the dissolution of these polymers has been the subject of considerable discussion, and a number of theories have been proposed to explain this behavior. Our research group has presented the critical ionization (CI) dissolution model to explain the dissolution of phenolic polymers in aqueous base. Specifically, the model proposes that a minimum or critical fraction of ionized sites, fcrit, on a given polymer chain must be ionized in order for that chain to dissolve. The main input parameters to this model are the critical fraction of ionized sites, fcrit, and the fraction of ionized surface sites, α. In this work methods are established for measuring these parameters. A quantitative link between the CI model and experiment has been demonstrated for the dissolution rate and surface roughness dependence on polymer molecular weight. Methods for calculating α are discussed,...


26th Annual International Symposium on Microlithography | 2001

Understanding molecular-level effects during post-exposure processing

Gerard M. Schmid; Mark D. Smith; Chris A. Mack; Vivek Singh; Sean D. Burns; C. Grant Willson

The perpetual advancement of materials and equipment for microlithography has resulted in reduction of critical dimensions to scales approaching the size of the molecules that constitute a photoresist. As a result, molecular scale effects such as line edge roughness have become an increasing concern for resist manufacturers and process engineers alike. Computer simulation of lithography has become an integral tool for both process optimization and development of new technologies. However, these simulation tools are generally based upon continuum approximation of the resist material, and are therefore unable to investigate molecular level variations. In this work we investigate the increasing importance of molecular level effects, especially in terms of the contributions of the post exposure bake (PEB) to feature roughness. A linkage has been made between a previously reported mesoscale simulation of the post exposure bake. The mesoscale simulation models discrete transport and reaction events during the post exposure bake to determine solubility variations on the scale of a single oligomeric chain. These solubility variations are then imported into PROLITH and transformed into photoresist topography using the familiar Mack dissolution model. This method has been used to simulate line-edge formation in an APEX-type resist. It is found that the distribution of photoproducts produced during exposure can lead to significant solubility variations during the PEB. These solubility variations can become manifest as roughness of resist feature topogrpahy.


Journal of The Electrochemical Society | 2004

Mesoscale Monte Carlo Simulation of Photoresist Processing

Gerard M. Schmid; Michael D. Stewart; Sean D. Burns; C. Grant Willson

Progress toward a comprehensive, chemically detailed, mesoscale photoresist simulation with predictive capability is reported. The semiconductor industry has developed a need for mechanistically detailed simulations capable of studying photoresist performance at nanometer dimensions. The nanometer-scale dimensional tolerances on photoresist features are becoming increasingly difficult to meet and expensive to produce in high-volume manufacturing processes. A mesoscale Monte Carlo simulation for processing of positive tone, chemically amplified photoresists has been developed to enable detailed study of photoresist performance as a function of formulation and processing variables. In this model, the molecular components of the photoresist material are included explicitly within a three-dimensional lattice framework. Molecular level models for each processing step have been derived from experimental studies and are implemented in the simulation modules for the several photoresist processing steps. Simulation input variables are fundamental and measurable material properties and processing parameters. Empirical calibrations to expensive lithography experiments are not used. The mesoscale nature of the simulation offers the ability to study the stochastic processes that contribute to resist feature roughness, while the chemical detail included in the models enables investigation of the wide photoresist formulation variable space. This suite of programs provides a unique tool to guide the rational design of new photoresist materials.


Proceedings of SPIE | 2011

Fundamental investigation of negative tone development (NTD) for the 22nm node (and beyond)

Guillaume Landie; Yongan Xu; Sean D. Burns; Kenji Yoshimoto; Martin Burkhardt; L. Zhuang; Karen Petrillo; Jason Meiring; Dario L. Goldfarb; Martin Glodde; Anthony Francis Scaduto; Matthew E. Colburn; Jason DeSisto; Young Cheol Bae; Michael T. Reilly; Cecily Andes; Vaishali Vohra

In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental materials/process interaction perspective. Several key differences exist between a negative tone develop process and a traditional positive tone develop system. For example, the organic solvent dissolves the unexposed material, while the deprotected resist remains intact. This causes key differences in key patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity. We have carried out fundamental studies to understand these new interactions between developer and remaining resist with negative tone develop systems. We have characterized the dynamic dissolution behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent developers. We have also compared contrast curves, and a fundamental model of image collapse. In addition, we present first results on Optical Proximity Correction (OPC) modeling results of current Negative Tone Develop (NTD) resist/developer systems.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Silicon containing polymer in applications for 193 nm high NA lithography processes

Sean D. Burns; Dirk Pfeiffer; Arpan P. Mahorowala; Karen Petrillo; Alexandera Clancy; Katherina Babich; David R. Medeiros; Scott D. Allen; Steven J. Holmes; Michael M. Crouse; Colin J. Brodsky; Victor Pham; Yi-Hsiung Lin; Kaushal S. Patel; Naftali E. Lustig; Allen H. Gabor; Christopher D. Sheraw; Phillip J. Brock; Carl E. Larson

The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC. To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.


Advances in resist technology and processing XVII | 2000

Recent advances in a molecular level lithography simulation

Gerard M. Schmid; Vivek Singh; Lewis W. Flanagin; Michael D. Stewart; Sean D. Burns; C. Grant Willson

Computer simulation of microlithography is a valuable tool for both optimization of current processes and development of advanced techniques. The capability of a computer simulation is limited by the accuracy of the physical model for the process being simulated. The post exposure bake (PEB) of a deep-ultraviolet resist is one process for which an accurate physical model does not exist. During the PEB of a deep- ultraviolet resist, mass transport of photogenerated acid allows a single acid molecule to catalyze several deprotection reactions. Unfortunately, lateral transport of acid into unexposed regions of the resist complicates control over the critical dimension of printed features. An understanding of the factors that contribute to acid mobility would allow resist manufacturers to tailor resist transport properties to their needs. Molecular level models are particularly valuable when attempting to examine mechanistic phenomena and offer the best possibility of accurately predicting lithographic performance based upon the chemical formulation of a resist. This work presents a new, molecular scale simulation of the acid generation and transport process.

Collaboration


Dive into the Sean D. Burns's collaboration.

Researchain Logo
Decentralizing Knowledge