Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seno Rekawa is active.

Publication


Featured researches published by Seno Rekawa.


Proceedings of SPIE | 2011

Critical challenges for EUV resist materials

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Seno Rekawa; Nathan S. Smith

Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.


Journal of Vacuum Science & Technology B | 2002

Sub-70 nm extreme ultraviolet lithography at the Advanced Light Source static microfield exposure station using the engineering test stand set-2 optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; David T. Attwood; Phillip J. Batson; Jeffrey Bokor; Paul Denham; Eric M. Gullikson; Bruce Harteneck; Brian Hoef; Keith Jackson; Deirdre L. Olynick; Seno Rekawa; Farhad Salmassi; Ken Blaedel; Henry N. Chapman; Layton C. Hale; Paul B. Mirkarimi; Regina Soufli; Don Sweeney; John S. Taylor; Christopher C. Walton; Donna O’Connell; Daniel A. Tichenor; Charles W. Gwyn; Pei-Yang Yan; Guojing Zhang

Static microfield printing capabilities have recently been integrated into the extreme ultraviolet interferometer operating at the Advanced Light Source synchrotron radiation facility at Lawrence Berkeley National Laboratory. The static printing capabilities include a fully programmable scanning illumination system enabling the synthesis of arbitrary illumination coherence (pupil fill). This new exposure station has been used to lithographically characterize the static imaging performance of the Engineering Test Stand Set-2 optic. Excellent performance has been demonstrated down to the 70 nm equal line/space level with focus latitude exceeding 1 μm and dose latitude of approximately 10%. Moreover, equal line/space printing down to a resolution of 50 nm has been demonstrated using resolution-enhancing pupil fills.


Journal of Vacuum Science & Technology B | 2004

Extreme ultraviolet microexposures at the Advanced Light Source using the 0.3 numerical aperture micro-exposure tool optic

Patrick P. Naulleau; Kenneth A. Goldberg; Erik H. Anderson; Jason P. Cain; Paul Denham; Keith Jackson; Anne-Sophie Morlens; Seno Rekawa; Farhad Salmassi

In an effort to continue the rapid pace of extreme ultraviolet (EUV) learning, the focus of developmental EUV lithography has shifted from low numerical aperture (NA) tools such as the 0.1NA engineering test stand to higher NA tools such as the 0.3NA micro-exposure tool (MET). To support this generation of lithographic optics, a static printing station has been developed at the Advanced Light Source. This synchrotron-based printing system relies on a scanning illuminator to provide real-time coherence (pupil-fill) control. Here, we describe a MET printing station and present early printing results obtained with the Sematech Set-2 MET optic. The resolution limit of baseline EUV resist is presented as well as 30nm equal-line-space printing in an experimental resist.


Journal of Vacuum Science & Technology B | 2008

Actinic extreme ultraviolet mask inspection beyond 0.25numericalaperture

Kenneth A. Goldberg; Patrick P. Naulleau; Iacopo Mochi; Erik H. Anderson; Seno Rekawa; C. D. Kemp; R. F. Gunion; Hak-Seung Han; Sungmin Huh

The SEMATECH Berkeley actinic inspection tool (AIT) is an extreme ultraviolet (EUV)-wavelength mask inspection microscope designed for direct aerial image measurements and precommercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a charge coupled device camera. The authors present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100nm mask features and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and numerical aperture (NA) values up to 0.0875, emulating the spatial resolution of a 0.35NA 4× EUV stepper. Illumination uniformity is above 90% for mask areas 2μm wide and smaller. An angle-scanning mirror reduces the high ...


Proceedings of SPIE | 2010

The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; David Chan; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany M. McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.


Journal of Vacuum Science & Technology B | 1998

At-wavelength detection of extreme ultraviolet lithography mask blank defects

Seongtae Jeong; Mourad Idir; Yun Lin; Lewis Johnson; Seno Rekawa; Michael S. Jones; Paul Denham; Phil Batson; Rick Levesque; Patrick A. Kearney; Pei-Yang Yan; Eric M. Gullikson; James H. Underwood; Jeffrey Bokor

We report the design and operation of an at-wavelength system for extreme ultraviolet lithography mask blank defect detection. Initial results demonstrate sensitivity to submicron size phase defects. The performance of the system is compared with the practical requirements for a mask blank inspection system in terms of the sensitivity and scanning time.


Journal of Vacuum Science & Technology B | 1999

Actinic detection of sub-100 nm defects on extreme ultraviolet lithography mask blanks

Seongtae Jeong; Lewis Johnson; Seno Rekawa; Christopher C. Walton; Shon Prisbrey; Edita Tejnil; James H. Underwood; Jeffrey Bokor

We present recent experimental results from a prototype actinic (operates at the 13 nm extreme ultraviolet wavelength) defect inspection system for extreme ultraviolet lithography mask blanks. The defect sensitivity of the current actinic inspection system is shown to reach 100 nm in experiments with programmed defects. A method to cross register and cross correlate between the actinic inspection system and a commercial visible-light scattering defect inspection system is also demonstrated. Thus, random, native defects identified using the visible-light tool can reliably be found and scanned by our actinic tool. We found that native defects as small as 86 nm (as classified by the visible-light tool) were detectable by the actinic tool. These results demonstrate the capability of this tool for independent defect counting experiments.


Presented at: SPIE Photomask technology (BACUS), Monterey, CA, United States, Sep 18 - Sep 22, 2006 | 2006

Multilayer defects nucleated by substrate pits: a comparison of actinic inspection and non-actinic inspection techniques

Anton Barty; Kenneth A. Goldberg; P. Kearney; Seno Rekawa; B. LaFontaine; O. Wood; John S. Taylor; Hakseung Han

The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) inspection tool. Presently, the occurrence of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern. We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.


Journal of Vacuum Science & Technology B | 2000

Characterization of extreme ultraviolet lithography mask defects from extreme ultraviolet far-field scattering patterns

Moonsuk Yi; Seongtae Jeong; Seno Rekawa; Jeffrey Bokor

We present far-field scattering patterns from programmed phase and opaque defects on extreme ultraviolet lithography mask blanks. Distinct diffraction fringes were observed for both defect types, with fringe spacing dependent on the defect size. Phase defects and opaque defects were found to show clear differences in scattering properties that can be utilized to classify defects.


Optics Express | 2014

Electro-optical system for scanning microscopy of extreme ultraviolet masks with a high harmonic generation source

Patrick P. Naulleau; Christopher N. Anderson; Erik H. Anderson; Nord Andreson; Weilun Chao; Chang-Hoon Choi; Kenneth A. Goldberg; Eric M. Gullikson; Seong-Sue Kim; Dong-gun Lee; Ryan Miyakawa; Jongju Park; Seno Rekawa; Farhad Salmassi

A self-contained electro-optical module for scanning extreme ultraviolet (EUV) reflection microscopy at 13.5 nm wavelength has been developed. The system has been designed to work with stand-alone commercially available EUV high harmonic generation (HHG) sources through the implementation of narrowband harmonic selecting multilayers and off-axis elliptical short focal length zoneplates. The module has been successfully integrated into an EUV mask scanning microscope achieving diffraction limited imaging performance (84 nm point spread function).

Collaboration


Dive into the Seno Rekawa's collaboration.

Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric M. Gullikson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jeffrey Bokor

University of California

View shared research outputs
Top Co-Authors

Avatar

Keith Jackson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Ryan Miyakawa

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge