Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Seshadri Ganguli is active.

Publication


Featured researches published by Seshadri Ganguli.


Japanese Journal of Applied Physics | 2013

Effective Work Function Engineering for Aggressively Scaled Planar and Multi-Gate Fin Field-Effect Transistor-Based Devices with High-k Last Replacement Metal Gate Technology

A. Veloso; Soon Aik Chew; Yuichi Higuchi; Lars-Ake Ragnarsson; Eddy Simoen; Tom Schram; Thomas Witters; Annemie Van Ammel; Harold Dekkers; Hilde Tielens; K. Devriendt; Nancy Heylen; F. Sebaai; S. Brus; Paola Favia; Jef Geypen; Hugo Bender; A. Phatak; Michael S. Chen; Xinliang Lu; Seshadri Ganguli; Yu Lei; Wei Tang; Xinyu Fu; Srinivas Gandikota; Atif Noori; Adam Brand; Naomi Yoshida; Aaron Thean; Naoto Horiguchi

This work reports on aggressively scaled replacement metal gate, high-k last devices (RMG-HKL), exploring several options for effective work function (EWF) engineering, and targeting logic high-performance and low-power applications. Tight low-threshold voltage (VT) distributions for scaled NMOS devices are obtained by controlled TiN/TiAl-alloying, either by using RF-physical vapor deposition (RF-PVD) or atomic layer deposition (ALD) for TiN growth. The first technique allows optimization of the TiAl/TiN thicknesses at the bottom of gate trenches while maximizing the space to be filled with a low-resistance metal; using ALD minimizes the occurrence of preferential paths, at gate sidewalls, for Al diffusion into the high-k dielectric, reducing gate leakage (JG). For multi-gate fin field-effect transistors (FinFETs) which require smaller EWF shifts from mid-gap for low-VT: 1) conformal, lower-JG ALD-TiN/TaSiAl; and 2) Al-rich ALD-TiN by controlled Al diffusion from the fill-metal are demonstrated to be promising candidates. Comparable bias temperature instability (BTI), improved noise behavior, and slightly reduced equivalent oxide thickness (EOT) are measured on Al-rich EWF-metal stacks.


Journal of Applied Physics | 2013

Novel metal gates for high κ applications

Mei Chang; Michael S. Chen; Anaïs David; Srinivas Gandikota; Seshadri Ganguli; Brian E. Hayden; Steven Hung; Xinliang Lu; Claire Mormiche; Atif Noori; Duncan Clifford Alan Smith; Chris Vian

The development of gate systems suitable for high κ dielectrics is critical to the advancement of complementary metal-oxide-semiconductor (CMOS) devices. Both the effective work function and material stability are key parameters to these systems. A systematic study of metal gates of the composition HfxSi1-x (0.25 ≤ x ≤ 1) is demonstrated here, including XPS, XRD and four point probe measurements. The effective work function of each material is evaluated and it is shown that it can be tuned from 4.5 to less than 4.0 eV. Suitable work functions for n-channel metal-oxide-semiconductor applications (4.05 ± 0.2 eV) were achieved using hafnium rich compositions; however, XPS and diffraction measurements confirmed that these materials demonstrated a high propensity to oxidise, causing the reduction of the underlying oxides, making them unsuitable for commercial application.


The Japan Society of Applied Physics | 2012

Effective Work Function Engineering for Aggressively Scaled Planar and FinFET-based Devices with High-k Last Replacement Metal Gate Tech.

Anabela Veloso; S. A. Chew; Yuichi Higuchi; L. A. Ragnarsson; Eddy Simoen; T. Schram; T. Witters; A. Van Ammel; H. Dekkers; H. Tielens; K. Devriendt; N. Heylen; Farid Sebaai; S. Brus; P. Favia; J. Geypen; Hugo Bender; A. Phatak; Michael S. Chen; Xinliang Lu; Seshadri Ganguli; Yu Lei; Wei Tang; Xinyu Fu; Srinivas Gandikota; Atif Noori; Adam Brand; Naomi Yoshida; Aaron Thean; N. Horiguchi

Devices with High-k Last Replacement Metal Gate Technology A. Veloso, S. A. Chew, Y. Higuchi, L.-Å. Ragnarsson, E. Simoen, T. Schram, T. Witters, A. Van Ammel, H. Dekkers, H. Tielens, K. Devriendt, N. Heylen, F. Sebaai, S. Brus, P. Favia, J. Geypen, H. Bender, A. Phatak, M. S. Chen, X. Lu, S. Ganguli, Y. Lei, W. Tang, X. Fu, S. Gandikota, A. Noori, A. Brand, N. Yoshida, A. Thean, and N. Horiguchi IMEC, assignee at IMEC from Panasonic, Applied Materials Belgium NV, Kapeldreef 75, 3001 Leuven, Belgium; Applied Materials Inc., 3050 Bowers Ave., Santa Clara, CA 95054, USA Tel.: +32-16-28 17 28, Fax: +32-16-28 17 06, Email: [email protected]


Archive | 2006

Apparatus and process for plasma-enhanced atomic layer deposition

Paul F. Ma; Kavita Shah; Dien-Yeh Wu; Seshadri Ganguli; Christophe Marcadal; Frederick C. Wu; Schubert S. Chu


Archive | 2001

Copper interconnect barrier layer structure and formation method

Ling Chen; Seshadri Ganguli; Christophe Marcadal; Wei Cao; Roderick Craig Mosely; Mei Chang


Archive | 2003

Method and apparatus for providing gas to a processing chamber

Seshadri Ganguli; Ling Chen; Vincent Ku


Archive | 2004

Ruthenium layer formation for copper film deposition

Mei Chang; Seshadri Ganguli; Nirmalya Maity


Archive | 2005

Method and apparatus of generating PDMAT precursor

Ling Chen; Vincent Ku; Hua Chung; Christophe Marcadal; Seshadri Ganguli; Jenny Lin; Dien-Yeh Wu; Alan Ouye; Mei Chang


Archive | 2002

Method and apparatus for monitoring solid precursor delivery

Seshadri Ganguli; Vincent Ku; Hua Chung; Ling Chen


Archive | 1999

CVD method of depositing copper films by using improved organocopper precursor blend

Ling Chen; Seshadri Ganguli; Bo Zheng; Samuel Wilson; Christophe Marcadal

Collaboration


Dive into the Seshadri Ganguli's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge