Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shinji Miyazaki is active.

Publication


Featured researches published by Shinji Miyazaki.


Proceedings of SPIE | 2014

Advanced CD-SEM metrology for pattern roughness and local placement of lamellar DSA

Takeshi Kato; Akiyuki Sugiyama; Kazuhiro Ueda; Hiroshi Yoshida; Shinji Miyazaki; Tomohiko Tsutsumi; Jihoon Kim; Yi Cao; Guanyang Lin

Directed self-assembly (DSA) applying chemical epitaxy is one of the promising lithographic solutions for next generation semiconductor device manufacturing. We introduced Fingerprint Edge Roughness (FER) as an index to evaluate edge roughness of non-guided lamella finger print pattern, and found its correlation with the Line Edge Roughness (LER) of the lines assembled on the chemical guiding patterns. In this work, we have evaluated both FER and LER at each process steps of the LiNe DSA flow utilizing PS-b-PMMA block copolymers (BCP) assembled on chemical template wafers fabricated with Focus Exposure Matrix (FEM). As a result, we found the followings. (1) Line widths and space distances of the DSA patterns slightly differ to each other depending on their relative position against the chemical guide patterns. Appropriate condition that all lines are in the same dimensions exists, but the condition is not always same for the spaces. (2) LER and LWR (Line Width Roughness) of DSA patterns neither depend on width nor LER of the guide patterns. (3) LWR of DSA patterns are proportional to the width roughness of fingerprint pattern. (4) FER is influenced not only by the BCP formulation, but also by its film thickness. We introduced new methods to optimize the BCP formulation and process conditions by using FER measurement and local CD valuation measurement. Publisher’s Note: This paper, originally published on 2 April 2014, was replaced with a corrected/revised version on 14 May 2014. If you downloaded the original PDF but are unable to access the revision, please contact SPIE Digital Library Customer Service for assistance.


Proceedings of SPIE | 2008

Etching spin-on trilayer masks

David J. Abdallah; Shinji Miyazaki; Aritaka Hishida; Allen Timko; Douglas Mckenzie; Dalil Rahman; Woo-Kyu Kim; Lyudmila Pylneva; Hengpeng Wu; Ruzhi Zhang; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel

Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.


Proceedings of SPIE | 2007

Spin-on trilayer approaches to high NA 193nm lithography

David J. Abdallah; Douglas Mckenzie; Allen Timko; Alberto D. Dioses; Frank Houlihan; Dalil Rahman; Shinji Miyazaki; Ruzhi Zhang; Woo-Kyu Kim; Hengpeng Wu; Lyudmila Pylneva; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; John J. Biafore

New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist, should be stacked with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Latest developments in photosensitive developable bottom anti-reflective coating (DBARC)

Takanori Kudo; Srinivasan Chakrapani; Alberto D. Dioses; Edward Ng; Charito Antonio; Deepa Parthasarathy; Shinji Miyazaki; Yuki Ubayashi; Kazuma Yamamoto; Yasushi Akiyama; Richard Collett; Mark Neisser; Munirathna Padmanaban

Developable bottom anti-reflective coatings (DBARC) are an emerging litho material technology. The biggest advantage of DBARC is that it eliminates the plasma etch step, avoiding damage to plasma sensitive layers during implantation. AZ has pioneered developable BARC based on photosensitive cleave as well as crosslink/decrosslink mechanisms. In this paper, we focus on the crosslink/decrosslink concept. DBARC/resist mismatching was corrected both from process and formulation sides. The optimized DBARC showed comparable lithographic performance as conventional BARCs. This paper provides the chemical concept of the photosensitive developable DBARCs, approaches for DBARC/resist matching and performance of photosensitive DBARCs for 248 nm and 193 nm exposures. Recent 193 nm immersion exposure results are also presented.


Archive | 2007

Bottom Antireflective Coating Compositions

Francis M. Houlihan; Shinji Miyazaki; Mark Neisser; Alberto D. Dioses; Joseph E. Oberlander


Journal of Photopolymer Science and Technology | 2013

The SMARTTM Process for Directed Block Co-Polymer Self-Assembly

Jihoon Kim; Jingxiu Wan; Shinji Miyazaki; Jian Yin; Yi Cao; Young Jun Her; Hengpeng Wu; Jianhui Shan; Kazunori Kurosawa; Guanyang Lin


Archive | 2014

TEMPLATE FOR SELF ASSEMBLY AND METHOD OF MAKING A SELF ASSEMBLED PATTERN

Jihoon Kim; Jingxiu Wan; Shinji Miyazaki; Guanyang Lin; Hengpeng Wu


Archive | 2014

Composition for forming a developable bottom antireflective coating

Shigemasa Nakasugi; Kazuma Yamamoto; Yasushi Akiyama; Shinji Miyazaki; Munirathna Padmanaban; Srinivasan Chakrapani


Journal of Photopolymer Science and Technology | 2010

Advances and Challenges in Developable Bottom Anti-Reflective Coating (DBARC)

Takanori Kudo; Srinivasan Chakrapani; Alberto D. Dioses; Edward Ng; Charito Antonio; Deepa Parthasarathy; Shinji Miyazaki; Kazuma Yamamoto; Yasushi Akiyama; Richard Collett; Mark Neisser; Murirathna Padmanaban


Archive | 2016

Defect reduction methods and composition for via formation in directed self-assembly patterning

SungEun Hong; Naoki Matsumoto; Yasushi Akiyama; Kazunori Kurosawa; Shinji Miyazaki; Guanyang Lin

Collaboration


Dive into the Shinji Miyazaki's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Mark Neisser

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Guanyang Lin

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Edward Ng

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jihoon Kim

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge