Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jeng-Horng Chen is active.

Publication


Featured researches published by Jeng-Horng Chen.


Optical Microlithography XVIII | 2005

Characterization of ArF immersion process for production (Invited Paper)

Jeng-Horng Chen; Li-Jui Chen; Tun-Ying Fang; Tzung-Chi Fu; Lin-Hung Shiu; Yao-Te Huang; Norman Chen; Da-Chun Oweyang; Ming-Che Wu; Shih-Che Wang; John Lin; Chun-Kuang Chen; Wei-Ming Chen; Tsai-Sheng Gau; Burn Jeng Lin; Richard Moerman; Wendy Gehoel-van Ansem; Eddy van der Heijden; Fred de Jong; Dorothe Oorschot; Herman Boom; Martin Hoogendorp; Christian Wagner; Bert Koek

ArF immersion lithography is essential to extend optical lithography. In this study, we characterized the immersion process on production wafers. Key lithographic manufacturing parameters, overlay, CD uniformity, depth of focus (DOF), optical proximity effects (OPE), and defects are reported. Similar device electrical performance between the immersion and the dry wafers assures electrical compatibility with immersion lithography. The yield results on 90-nm Static Random Access Memory (SRAM) chips confirm doubling of DOF by immersion as expected. Poly images of the 65-nm node from a 0.85NA immersion scanner are also shown.


Optical Microlithography XVII | 2004

Two threshold resist models for optical proximity correction

Wen-Chun Huang; Chia-Hui Lin; Chin-Chen Kuo; C. C. Huang; Jyuh-Fuh Lin; Jeng-Horng Chen; Ru-Gun Liu; Yao Ching Ku; Burn-Jeng Lin

There have been several kinds of resist model proposed for optical proximity correction. The simplest one is the constant threshold resist model. By this method, only area with intensity above a certain threshold value would be developed. Unfortunately, the constant threshold resist model is too simplified to accurately describe the entire resist processes. To solve this problem, variable threshold resist models were proposed thereafter. The printed resist edge is characterized in terms of the aerial image properties, such as intensity, intensity slope and so forth. More parameters and freedoms are required to describe the complicated chemical reactions of the resist during exposure and development processes. However, the computation time for OPC would increase significantly due to the supplementary calculation of the extra aerial image properties. In this paper, the dual model of constant threshold was proposed to enhance the accuracy of constant threshold resist models. Two constant threshold resist models were determined by model fitting process based on different types of pattern structures. During the correction, one-dimensional and two-dimensional edges are identified first and different constant-threshold models were applied for simulation. Good corrections on both of the one-dimensional line/space widths and two-dimensional line-ends could be achieved. The simulation results were also compared with experimental data.


Journal of Vacuum Science & Technology B | 2001

Low-k1 optical lithography for 100 nm logic technology and beyond

Anthony Yen; Shinn-Sheng Yu; Jeng-Horng Chen; Chun-Kuang Chen; Tsai-Sheng Gau; Burn Jeng Lin

In this article, we present 193 nm lithography at a k1 factor of 0.37–0.40 and discuss several topics important to 100 nm logic such as optical proximity correction (OPC), control of critical dimension (CD) variation, and lowering of the mask error factor (MEF). In OPC, the best correction results can be achieved by developing accurate models and using reasonable segmentation rules. The technique of variable-dose exposures is demonstrated as a means to reduce interfield CD variation once the cause is known and can be characterized. A more than 30% reduction in CD variation is realized for variation caused by temperature nonuniformity in hot plates. The concept of two dimensional (2D) MEF is introduced to describe situations at feature ends. Higher numerical aperture or more effectively, quadrupole illumination, can be used to lower 1D and 2D MEFs. We also explore the technique of dipole illumination, which may be a candidate for taking optical lithography to k1<0.35.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

90-nm lithography process characterization using ODP scatterometry technology

Chih-Ming Ke; Shinn-Sheng Yu; Yu-Hsi Wang; Yu-Jun Chou; Jeng-Horng Chen; Bih-Huey Lee; Hong-Yuan Chu; Hua-Tai Lin; Tsai-Sheng Gau; Chih-Hsiang Lin; Yao-Ching Ku; Burn Jeng Lin; Jacky Huang; J. J. Hsu; Victor Liu; Dave Hetzer; Lip Yap; Wenge Yang; Kaoru Araki

CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Quantification of CD-SEM wafer global charging effect on CD and CD uniformity of 193-nm lithography

Chih-Ming Ke; Hsueh-Liang Hung; Anderson Chang; Jeng-Horng Chen; Tsai-Sheng Gau; Yao-Ching Ku; Burn Jeng Lin; Tadashi Otaka; Kazuhiro Ueda; Hiroki Kawada; Hiroaki Nomura; Nelson Ren

For 90 nm technology and below, we need to fight for every nanometer to improve the CD uniformity (CDU). New materials, especially for low-k material, bring about not only complicated integration challenges, but also new metrology difficulties such as SEM image focus failure if using low landing energy (300V) on charging wafer (e.g. -300V). The wafer global charging will also distort the CD SEM magnification and result in CD measurement error. CD SEM venders propose that the distortion be corrected by voltage contrast focus. In order to compare and quantify the measurement error correction with and without using retarding voltage focus, ArF resist non-uniform charging wafers (~ -300V) and low charging wafers (~ -7V) were prepared. Low landing energy like 300V is one of the solutions for ArF resist shrinkage. However, as the low landing energy (300V) meets the high global charging wafer (-300V), SEM cannot get sufficient secondary electron signal to construct image. Therefore, two landing voltages 500eV and 800eV were chosen for the evaluation. Three pitches 1600 nm, 460 nm and 230 nm were investigated. Two indexes are used to evaluate the wafer global charging effect on CD and CDU. One is within-wafer pitch uniformity for determining the CD SEM magnification error. The other is ArF-resist-shrinkage amplitude used to estimate the effective landing energy at charging area. The experimental results show that the pitch uniformity difference with and without using retarding focus can be larger than 2.5 nm. Similar phenomenon is also found for the line width uniformity. Resist shrinkage amplitude is significantly reduced at the highly charged area. Both results show that accurate focus procedure, i.e. retarding voltage focus employing first, is the key to reduce the CD metrology tool measurement error and improve CDU.


Journal of Vacuum Science & Technology B | 2001

Critical dimension error analysis for 0.13 μm photolithography and beyond

Tsai-Sheng Gau; Anthony Yen; Jeng-Horng Chen; Shinn-Sheng Yu; Chun-Kuang Chen; Chih-Ming Ke; Burn Jeng Lin; Ping-Ting C. Wang

This article reports on a comprehensive study of critical dimension (CD) error analysis for the 0.13 μm generation and beyond. Systematic CD distribution is extracted by averaging nine wafers. The remaining noise signals are treated as random CD errors. Systematic CD error is further broken down into intra- and interfield CD errors by Fourier analysis. Interfield CD error is brought in comparison with the temperature distribution of postexposure bake hot plate, and they are found in good match. A polynomial expansion method further decomposes the interfield distribution into tilt and spiral parts, which are supposedly contributed by hot-plate and spin modules. The random CD error is also analyzed in this article.


Optical Microlithography XVII | 2004

Phenomena and OPC solution of ripple patterns for 65-nm node

Chih-Ming Lai; Jeng-Shiun Ho; Chien-Wen Lai; Cheng-Kun Tsai; Cherng-Shyan Tsay; Jeng-Horng Chen; Ru-Gun Liu; Yao Ching Ku; Burn-Jeng Lin

The ripple patterns induced by the lithography process will lead to unpredictable necking or bridging risks on circuit patterns. This phenomenon is particularly severe while using the attenuated-phase-shifting mask combined with the strong off-axis illumination. The CD variation induced by the ripple effect is difficult to be accurately corrected by conventional OPC approaches. In this paper, ripples on patterning for the 65nm node have been studied and their problems solved. One of the dominant root causes of ripples is the optical side-lobes from the surrounding patterns. On the L-shape patterns for example, the ripples that occur on the horizontal lines are induced by the side-lobes of the vertical lines. Based on this study of the ripple effect, the layout types resulting in ripple patterns can be classified and predicted. An advanced OPC approach by the segmentation analysis on polygons as well as the correction algorithm optimization has been developed and applied to solve this ripple problem.


Advanced Characterization Techniques for Optics, Semiconductors, and Nanotechnologies | 2003

Thin film 193nm TNK measurement using multi-domain genetic algorithm (MDGA) with a combination of beam profile reflectometry (BPR), absolute ellipsometry (AE), and spectroscopic ellipsometry (SE)

Jon L. Opsal; Jingmin Leng; Chih-Ming Ke; Pei-Hung Chen; Jeng-Horng Chen; Yao-Ching Ku

In the l30nm process, controlling the critical dimension uniformity (CDU) within a wafer is crucial. In order to minimize CDU within a wafer, CD swing amplitude against film thickness must be minimized. It is observed that the CD swing amplitude is closely related to the reflectivity of the anti-reflective coating (ARC) layer under the resist. The suppressed reflectivity (ideally zero) from the ARC layer and underlying layers can be achieved by properly selecting a combination of thickness (T), refractive index (N) and extinction coefficient (K) of the ARC layer. Accurate and repeatable measurements of T, N, and K at a wavelength of 193nm play a key role in this film optimization process. In this paper we propose a new method to simultaneously measure T, N, and K for various silicon oxynitride (SION) and organic ARC films. The new methodology uses a multi-domain genetic algorithm (MDGA) to search for global fitting residual minima for SION and organic ARC films using 21-point line-scan data sets logged on each wafer with a combination of BPR, AE and SE measurement technologies. The MDGA-obtained dispersion curves form constituents of a Bruggeman effective medium approximation (EMA) model. By using this unique metrology tool combination, swing amplitudes can be reduced to less than 5nm. The measurement variations of N&K at 193nm from machine to machine on SION and organic ARC films can be minimized to as small as 0.002. We point out that there are no 193nm N&K standards in the world. In this work, we used a set of Therma-Wave standards with thicknesses traceable to NIST standards. We also used the published thermal oxide and crystalline Si dielectric constants (i.e., N&Ks) as our standards for dispersion. The matching of SE (as well as the other technologies) of each tool is ensured through calibrations of SE to the same set of standards. Finally, a recipe using the combination of BPR, AE, and SE technologies allows one to deal with the large TNK variations encountered in the production environment without losing the sensitivity to measure TNK precisely and accurately. On the contrary, a TNK recipe with SE technology only may yield reasonable precision results but would lose the sensitivity to the thin film TNK variation within the wafer and among the wafers.


Archive | 2003

Pattern compensation for stitching

Chung-Hsing Chang; Chien-Hung Lin; Burn Jeng Lin; Chia-Hui Lin; Chih-Cheng Chin; Chin-Hsiang Lin; Fu-Jye Liang; Jeng-Horng Chen; Bang-Ching Ho


Archive | 2011

GEOMETRIC PATTERN DATA QUALITY VERIFICATION FOR MASKLESS LITHOGRAPHY

Hung-Chun Wang; Pei-Shiang Chen; Tzu-Chin Lin; Cheng-Hung Chen; Shih-Chi Wang; Nian-Fuh Cheng; Jeng-Horng Chen; Wen-Chun Huang; Ru-Gun Liu

Researchain Logo
Decentralizing Knowledge