Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Silvia De Dea is active.

Publication


Featured researches published by Silvia De Dea.


Proceedings of SPIE | 2009

LPP source system development for HVM

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Laser produced plasma (LPP) systems have been developed as a viable approach for the EUV scanner light sources to support optical imaging of circuit features at sub-22nm nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. The status of first generation High Volume Manufacturing (HVM) sources in production and at a leading semiconductor device manufacturer is discussed. The EUV power at intermediate focus is discussed and the lastest data are presented. An electricity consumption model is described, and our current product roadmap is shown.


Proceedings of SPIE | 2009

Laser produced plasma light source for EUVL

Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper is devoted to the development of laser produced plasma (LPP) EUV source architecture for advanced lithography applications in high volume manufacturing of integrated circuits. The paper describes the development status of subsystems most critical to the performance to meet scanner manufacturer requirements for power and debris mitigation. Spatial and temporal distributions of the radiation delivered to the illuminator of the scanner are important parameters of the production EUV tool, this paper reports on these parameters measured at the nominal repetition rate of the EUV source. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material and contaminants as well as sputtering and implantation of incident particles can reduce the reflectivity of the mirror coating substantially over time during exposure even though debris mitigation schemes are being employed. We report on progress of life-test experiments of exposed 1.6sr collectors using a Sn LPP EUV light source. The erosion of MLM coating is caused mostly by the high-energy ions generated from the plasma. In this manuscript the ion distribution measured at small (14 degree) and medium (45 degree) angles to the laser beam are presented. The measurements show that the chosen combination of the CO2 laser and Sn droplet targets is characterized by fairly uniform angular ion energy distribution. The maximum ion energy generated from the plasma is in the range of 3-3.5 keV for all incident angles of the collector. The measured maximum energy of the ions is significantly less than that measured and simulated for plasmas generated by short wavelength lasers (1 μm). The separation of ions with different charge states was observed when a retarding potential was applied to the Faraday Cup detector.


Proceedings of SPIE | 2012

Laser produced plasma EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Michael J. Lercel; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; Alexander N. Bykanov; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christian J. Wittak; Michael R. Woolston; Robert J. Rafac; Jonathan Grava; Alexander Schafgans

Laser produced plasma (LPP) systems have been developed as the primary approach for the EUV scanner light source for optical imaging of circuit features at sub-22nm and beyond nodes on the ITRS roadmap. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from leading scanner manufacturers. We present the latest results on exposure power generation, collection, and clean transmission of EUV through the intermediate focus. Semiconductor industry standards for reliability and source availability data are provided. We report on measurements taken using a 5sr normal incidence collector on a production system. The lifetime of the collector mirror is a critical parameter in the development of extreme ultra-violet LPP lithography sources. Deposition of target material as well as sputtering or implantation of incident particles can reduce the reflectivity of the mirror coating during exposure. Debris mitigation techniques are used to inhibit damage from occuring, the protection results of these techniques will be shown over multi-100s of hours.


Journal of Micro-nanolithography Mems and Moems | 2009

Performance results of laser-produced plasma test and prototype light sources for EUV lithography

N. Böwering; Igor V. Fomenkov; David C. Brandt; Alexander N. Bykanov; Alexander I. Ershov; William N. Partlo; Dave Myers; Nigel R. Farrar; G. Vaschenko; Oleh V. Khodykin; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Daniel J. Golich; David A. Vidusek; Silvia De Dea; Richard R. Hou

Improved performance and specific results are reported for several test and prototype extreme ultraviolet EUV light sources devel- oped for next-generation lithography. High repetition rate and high-power CO2 laser-produced plasma sources operating on tin droplet targets are described. Details of laser architecture, source chambers and system operation are given. Stable output power, efficient light collection, and clean EUV transmission could be achieved for hours of operation. We review progress during integration of light sources with collector mirrors reaching EUV power levels at intermediate focus of 60 W and 45 W, respectively, with duty cycles of 25% and 40%. Far-field EUV images of the collected light were recorded to monitor the source output perfor- mance during extended tests of collector longevity and debris protection with system operation time exceeding 50 h. Development results on EUV spectra, out-of-band OOB radiation, and ion debris obtained with dedicated metrology setups are also described. Angle-resolved mea- surements with ion energy analyzer and Faraday cups reveal the contri- butions of individual ion charge states in related spectra. Our laser- produced EUV light source technology has now reached a level of maturity in full integration where prototype sources can be delivered and pilot line introduction can be prepared.


Proceedings of SPIE | 2007

Laser-produced plasma source system development

David C. Brandt; Igor V. Fomenkov; Alex I. Ershov; William N. Partlo; David W. Myers; N. Böwering; G. Vaschenko; Oleh V. Khodykin; Alexander N. Bykanov; Jerzy R. Hoffman; Christopher P. Chrobak; Shailendra N. Srivastava; David A. Vidusek; Silvia De Dea; Richard R. Hou

This paper provides a review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. Laser produced plasma systems have been developed as a viable approach for the EUV scanner light source for optical imaging of circuit features at sub-32nm and beyond nodes on the ITRS roadmap. Recent advances in the development of the system, its present average output power level and progress with various subcomponents is discussed. We present the latest results on peak EUV and average EUV power as well as stability of EUV output, measured in burst-mode operation at the nominal repetition rate of the light source. In addition, our progress in developing of critical components, such as normal-incidence EUV collector and liquid-target delivery system is described. We also report on dose stability, plasma position stability and EUV distribution at the output region of the source. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for an HVM source. The capability to scale LPP power by further development of the high power CO2 drive laser in order to increase duty cycle and duration of continuous light source operation is shown. Production systems with thermal management and capable of 5 sr light collection are being assembled and tested. A description of the development of a normal-incidence ellipsoidal collector is included. Improvements in substrate quality lead to increased EUV reflectance of the mirror. Results on the generation of liquid tin droplets as target material for efficient plasma generation are also described. The droplet generator serves as a key element in the precise and spatially stable delivery of small quantities of liquid tin at high repetition rates. We describe a protection module at the intermediate focus (IF) region of the source and imaging of the EUV distribution using a sub-aperture collector and a fluorescent screen. A path to meet requirements for production scanners planned well into the next decade is also presented.


Journal of Micro-nanolithography Mems and Moems | 2012

Development of stable extreme-ultraviolet sources for use in lithography exposure systems

Igor V. Fomenkov; Bruno La Fontaine; Daniel J. W. Brown; Imtiaz Ahmad; Peter M. Baumgart; N. Böwering; David C. Brandt; Alexander N. Bykanov; Silvia De Dea; Alex I. Ershov; Nigel R. Farrar; Daniel J. Golich; Michael J. Lercel; David W. Myers; Chirag Rajyaguru; Shailendra N. Srivastava; Yezheng Tao; G. Vaschenko

Laser-produced plasma sources offer the best option for scal- ability to support high-throughput lithography. Challenges associated with the complexity of such a source are being addressed in a pilot program where sources have been built and integrated with extreme-ultraviolet (EUV) scanners. Up to now, five pilot sources have been installed at R&D facilities of chip manufacturers. Two pilot sources are dedicated to product development at our facility, where good dose stability has been demonstrated up to levels of 32 W of average EUV power. Experi- mental tests on a separate experimental system using a laser prepulse to optimize the plasma conditions or EUV conversion show power levels equivalent to approximately 160 W within a low duty-cycle burst, before dose control is applied. The overall stability of the source relies on the generation of Sn droplet targets and large EUV collector mirrors. Stability of the Sn droplet stream is well below 1 μm root mean square during 100 þ h of testing. The lifetime of the collector is significantly enhanced with improved coatings, supporting uninterrupted operation for several weeks.


Advanced Optical Technologies | 2017

Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

Igor V. Fomenkov; David C. Brandt; Alex I. Ershov; Alexander Schafgans; Yezheng Tao; G. Vaschenko; Slava Rokitski; Michael Kats; Michael Vargas; Michael B. Purvis; Rob Rafac; Bruno La Fontaine; Silvia De Dea; Andrew LaForge; Jayson Stewart; Steven Chang; Matthew J. Graham; Daniel J. Riggs; Ted Taylor; Mathew Abraham; Daniel B. Brown

Abstract Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML’s NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.


Proceedings of SPIE | 2014

LPP EUV source readiness for NXE 3300B

David C. Brandt; Igor V. Fomenkov; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; N. Böwering; Daniel J. Riggs; Robert J. Rafac; Silvia De Dea; Rudy Peeters; Hans Meiling; Noreen Harned; Daniel Smith; Alberto Pirati; Robert Kazinczi

Laser produced plasma (LPP) light sources have been developed as the primary approach for EUV scanner imaging of circuit features in sub-20nm devices in high volume manufacturing (HVM). This paper provides a review of development progress and readiness status for the LPP extreme-ultra-violet (EUV) source. We present the latest performance results from second generation sources, including Prepulse operation for high power, collector protection for long lifetime and low cost of ownership, and dose stability for high yield. Increased EUV power is provided by a more powerful drive laser and the use of Prepulse operation for higher conversion efficiciency. Advanced automation and controls have been developed to provide the power and energy stability performance required during production fab operation. We will also discuss lifetesting of the collector in Prepulse mode and show the ability of the debris mitigation systems to keep the collector multi-layer coating free from damage and maintain high reflectivity.


Proceedings of SPIE | 2014

Laser produced plasma light source development for HVM

Igor V. Fomenkov; David C. Brandt; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; N. Böwering; Daniel J. Riggs; Robert J. Rafac; Silvia De Dea; Michael B. Purvis; Rudy Peeters; Hans Meiling; Noreen Harned; Daniel Smith; Robert Kazinczi; Alberto Pirati

This paper describes the development of a laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source for advanced lithography applications in high volume manufacturing. EUV lithography is expected to succeed 193nm immersion double patterning technology for sub- 20nm critical layer patterning. In this paper we discuss the most recent results from high power testing on our development systems targeted at the 250W configuration, and describe the requirements and technical challenges related to successful implementation of these technologies. Subsystem performance will be shown including Conversion Efficiency (CE), dose control, collector protection and out-of-band (OOB) radiation measurements. This presentation reviews the experimental results obtained on systems with a focus on the topics most critical for a 250W HVM LPP source.


Proceedings of SPIE | 2013

CO2/Sn LPP EUV sources for device development and HVM

David C. Brandt; Igor V. Fomenkov; Nigel R. Farrar; Bruno La Fontaine; David W. Myers; Daniel J. W. Brown; Alex I. Ershov; Richard L. Sandstrom; G. Vaschenko; N. Böwering; Palash P. Das; Vladimir B. Fleurov; Kevin Zhang; Shailendra N. Srivastava; Imtiaz Ahmad; Chirag Rajyaguru; Silvia De Dea; Wayne J. Dunstan; Peter M. Baumgart; Toshi Ishihara; Rod D. Simmons; Robert N. Jacques; Robert A. Bergstedt; Peter I. Porshnev; Christopher J. Wittak; Robert J. Rafac; Jonathan Grava; Alexander Schafgans; Yezheng Tao; Kay Hoffmann

Laser produced plasma (LPP) systems have been developed as the primary approach for use in EUV scanner light sources for optical imaging of circuit features at 20nm nodes and beyond. This paper provides a review of development progress and productization status for LPP extreme-ultra-violet (EUV) sources with performance goals targeted to meet specific requirements from ASML. We present the latest results on power generation and collector protection for sources in the field operating at 10W nominal power and in San Diego operating in MOPA (Master Oscillator Power Amplifier) Prepulse mode at higher powers. Semiconductor industry standards for reliability and source availability data are provided. In these proceedings we show results demonstrating validation of MOPA Prepulse operation at high dose-controlled power: 40 W average power with closed-loop active dose control meeting the requirement for dose stability, 55 W average power with closed-loop active dose control, and early collector protection tests to 4 billion pulses without loss of reflectivity.

Researchain Logo
Decentralizing Knowledge