Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Soo-Ho Shin is active.

Publication


Featured researches published by Soo-Ho Shin.


international electron devices meeting | 2005

Local-damascene-finFET DRAM integration with p/sup +/ doped poly-silicon gate technology for sub-60nm device generations

Yong-Sung Kim; Sang-Hyeon Lee; Soo-Ho Shin; Sung-hee Han; Ju-Yong Lee; Jin-woo Lee; Jun Han; Seung-Chul Yang; Joon-Ho Sung; Eun-Cheol Lee; Bo-Young Song; Dong-jun Lee; Dong-il Bae; Won-suk Yang; Yang-Keun Park; Kyu-Hyun Lee; Byung-Hyuk Roh; Tae-Young Chung; Kinam Kim; Wonshik Lee

We integrate FinFET DRAM in sub-60nm feature size. To avoid severe passing gate effects in FinFET cell array, we introduce a local damascene gate structure. Threshold voltage control of the ultra thin body transistors is successfully achieved by adopting p+ boron in-situ doped poly-silicon gate on the FinFET cells. As a result, very stable and uniform operation of FinFET cells is realized. The local damascene FinFET with p+ gate can become a highly feasible mainstream DRAM technology for sub-60nm low-power high-speed devices


international electron devices meeting | 2015

20nm DRAM: A new beginning of another revolution

J.M. Park; Young-Nam Hwang; Soo-Kyoung Kim; Sung-Kee Han; Jung-Hoon Park; Ju-youn Kim; J.W. Seo; Byung-ki Kim; Soo-Ho Shin; C.H. Cho; Seok Woo Nam; H.S. Hong; Kwanheum Lee; G. Y. Jin; Eunseung Jung

For the first time, 20nm DRAM has been developed and fabricated successfully without extreme ultraviolet (EUV) lithography using the honeycomb structure (HCS) and the air-spacer technology. The cell capacitance (Cs) can be increased by 21% at the same cell size using a novel low-cost HCS technology with one argon fluoride immersion (ArF-i) lithography layer. The parasitic bit-line (BL) capacitance is reduced by 34% using an air-spacer technology whose breakdown voltage is 30% better than that of conventional technology.


symposium on vlsi technology | 2000

A 0.13 /spl mu/m DRAM technology for giga bit density stand-alone and embedded DRAMs

Keon-Soo Kim; Tae-Young Chung; H.S. Jeong; J.T. Moon; Y.W. Park; G.T. Jeong; K.H. Lee; Gwan-Hyeob Koh; Dong-won Shin; Young-Nam Hwang; D.W. Kwak; Hyung Soo Uh; Dae-Won Ha; J.W. Lee; Soo-Ho Shin; M.H. Lee; Yoon-Soo Chun; J.K. Lee; Byung-lyul Park; Jun-sik Oh; J.G. Lee; S.H. Lee

In this paper, a 0.13 /spl mu/m DRAM technology is developed with KrF lithography. In order to extend KrF lithography to 0.13 /spl mu/m generation, full CMP technology is developed in order to provide flat surface. Full self-aligned contact (SAC) technology can make memory cell processes easy because memory cell landing pads and storage node contact plug can be formed with self-aligned manner respect to word-line and bit-line. By these technologies, the extremely small memory cell is easily realized without any yield loss. Low-temperature PAOCS MIS capacitor with Al/sub 2/O/sub 3/ can greatly reduce the aspect ratio of metal contact, thereby yielding stable metal contact process. And it can help DRAM technology easily to merge with logic process. The 0.13 /spl mu/m integration technology is successfully demonstrated with 1 Gb DRAM.


european solid state circuits conference | 2004

Improvement of data retention time in DRAM using recessed channel array transistors with asymmetric channel doping for 80 nm feature size and beyond

J.W. Lee; Yong-Seok Kim; Jung-Geun Kim; Yoon-dong Park; Soo-Ho Shin; S.H. Lee; Jae-joon Oh; J.G. Lee; J.Y. Lee; D.I. Bae; Eun-Sun Lee; C.S. Lee; C.J. Yun; C.H. Cho; K.Y. Jin; Y.J. Park; Tae-Young Chung; Kinam Kim

A 512 Mb DRAM using a recessed channel array transistor with asymmetric channel doping scheme (RCAT-ASC) is fabricated for the first time with 80 nm feature size. We have found that RCAT-ASC shows much better device performance than the normal RCAT-SC (recessed channel array transistor with symmetric channel doping scheme) or PCAT-ASC (planar channel array transistor with asymmetric channel doping scheme). The RCAT-ASC has the lowest junction leakage currents at storage nodes among them. The increase of data retention time is found to be very remarkable, and thus we suggest that the RCAT-ASC should be an effective method for longer data retention time of DRAM for the future generations. Additionally, we also found that the charge sharing time (tCS) of RCAT-ASC has the lowest values among the three array transistor schemes.


international electron devices meeting | 2000

Highly manufacturable 4 Gb DRAM using using 0.11 /spl mu/m DRAM technology

H.S. Jeong; Woun-Suck Yang; Young-Nam Hwang; C.H. Cho; S.H. Park; Soon-Hong Ahn; Yoon-Soo Chun; Soo-Ho Shin; Song Sh; J.Y. Lee; Sungho Jang; Choong-ho Lee; J.H. Jeong; Myung-Haing Cho; J.K. Lee; Kinam Kim

4 Gb DRAM has been developed successfully using 0.11 /spl mu/m DRAM technology. Considering manufacturability, we have focused on developing patterning technology that makes 0.11 /spl mu/m design rules possible using KrF lithography. Also, novel DRAM technologies, which have a big influence on the future DRAM integration, are developed as follows:, using novel oxide (SOG) for the enhanced capability of gap-filling, borderless metal contact and stud processes, line-type storage node SAC, thin gate oxide, and CVD Al process for metal interconnections.


international electron devices meeting | 2002

Highly manufacturable 90 nm DRAM technology

Yoon-dong Park; C.H. Cho; K.H. Lee; Byung-hyug Roh; Y.S. Ahn; S.H. Lee; Jae-joon Oh; J.G. Lee; Dong-Hwa Kwak; Soo-Ho Shin; J.S. Bae; S.B. Kim; J.K. Lee; J.Y. Lee; Min-Sang Kim; J.W. Lee; Dae-Yup Lee; Soo-jin Hong; D.I. Bae; Yoon-Soo Chun; S.H. Park; C.J. Yun; Tae-Young Chung; Kinam Kim

A 90 nm DRAM technology has been successfully developed using 512 Mb DRAM for the first time. ArF lithography is used for printing critical layers with resolution enhancement techniques. A novel gap-filling technology using spin coating oxide is developed for STI and ILD processes. A diamond-shaped storage node is newly developed for large capacitor area with better mechanical stability. A CVD Al process can make the back-end metallization process simple and easy. A dual gate oxide scheme can provide independent optimization for memory cell transistor and periphery support device so that the off-state leakage current of the cell transistor can be maintained below 0.1 fA.


symposium on vlsi technology | 2002

Novel DRAM cell transistor with asymmetric source and drain junction profiles improving data retention characteristics

Seung-Eon Ahn; G.T. Jung; C.H. Cho; Soo-Ho Shin; J.Y. Lee; J.G. Lee; H.S. Jeong; Kinam Kim

A novel DRAM cell transistor with an asymmetric source and drain structure is proposed, for the first time, to realize reliable high density DRAM below 0.12 /spl mu/m. The new cell structure could provide the optimized source and drain junction profiles independently. The junction profile at the storage node (SN) was designed to reduce electric field to minimize junction leakage current and thereby improving data retention time. On the other hand, the junction profile at the bit-line direct contact node (DC) was designed to suppress short channel effects of a cell transistor. It is considered to be highly scalable for device scaling and to solve fine printing and precise alignment requirements. The validity of the approach was directly confirmed by improvement in the refresh times of 512 Mb DRAM which was fabricated with 0.12 /spl mu/m DRAM technology.


symposium on vlsi technology | 1998

A highly reliable 1T/1C ferroelectric memory

Dong-Jin Jung; Sung-Yung Lee; Bon-jae Koo; Yoo-Sang Hwang; Dong-won Shin; Jinwoo Lee; Yoon-Soo Chun; Soo-Ho Shin; Mi-Hyang Lee; Hong-Bae Park; Sang-In Lee; Kinam Kim; Jong-Gil Lee

A reliable 1T/1C ferroelectric RAM has been successfully fabricated with 1.2 /spl mu/m conventional CMOS technology by adopting IrO/sub 2/ electrode and the Ti-rich PZT thin film. The Ti-rich PZT capacitor shows no degradation of sensing Pr after integration process. After 1/spl times/10/sup 10/ cycling, the loss of remnant polarization was less than 5%. In thermally accelerated (150/spl deg/C) test condition, more than 14 /spl mu/C/cm/sup 2/ for both data 0 and data 1 sensing Pr values are obtained even after 10 years.


symposium on vlsi technology | 2001

Highly manufacturable and high performance SDR/DDR 4 Gb DRAM

Keon-Soo Kim; H.S. Jeong; Wouns Yang; Yoo-Sang Hwang; C.H. Cho; M.M. Jeong; S.H. Park; Seung-Eon Ahn; Yoon-Soo Chun; Soo-Ho Shin; Jung-Hoon Park; Sangho Song; J.Y. Lee; Sungho Jang; Choong-ho Lee; Jae-Hun Jeong; K.H. Cho; H.I. Yoon; J.S. Jeon

A 4 Gb SDR/DDR DRAM is fabricated with 0.11 /spl mu/m CMOS technology. To the best of our knowledge, this is the first working DRAM ever achieved at such a high density. The cell size and chip size of the 4 Gb DRAM are approximately 0.1 /spl mu/m/sup 2/ and 645 mm/sup 2/, respectively. The key technologies developed for this 4 Gb DRAM are KrF lithography with RET, novel ILD gap-filling, full SAC with LSC, novel W-BL, low-temperature Al/sub 2/O/sub 3/ MIS capacitor, and triple level CVD-Al interconnection technology. The key features of these technologies were reported elsewhere (Jeong et al., Tech. Digest of IEDM, pp. 353-6, 2000). The summary of 0.11 /spl mu/m DRAM technology is listed and compared with our previous 0.13 /spl mu/m (Kim et al., 2000) and 0.15 /spl mu/m (Kim et al., 1998) generations. We have found that random single-bit and/or twin-bit failures and block failures are the most critical issues to be solved for achieving good functionality of 4 Gb DRAM. In order to get rid of the single and twin bit failures, 80 nm array transistors, sub-80 nm memory cell contacts and mechanically robust capacitors are developed and triple-level CVD Al technology is optimized to reduce block failure as well as improve chip performance. In this paper, these technologies for achieving good functionality with high performance are highlighted in detail.


optical fiber communication conference | 2006

16 /spl times/ 1.25 Gbit/s WDM-PON based on ASE-injected R-SOAs in 60/spl deg/C temperature range

Soo-Ho Shin; O.K. Jung; Dong-Jae Shin; Sung-Bum Park; Jung-Kee Lee; L.K. Yun; Sung-Kee Kim; Y.H. Oh; Chang-Sup Shim

A specially designed R-SOA with high gain and wider modulation bandwidth is introduced. The injection power requirement and the viable temperature range are experimentally analysed in 16 times 1.25 Gbit/s WDM-PON based on ASE-injected R-SOAs

Collaboration


Dive into the Soo-Ho Shin's collaboration.

Researchain Logo
Decentralizing Knowledge