Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sudhakar M. Reddy is active.

Publication


Featured researches published by Sudhakar M. Reddy.


international test conference | 2002

On testing of interconnect open defects in combinational logic circuits with stems of large fanout

Sudhakar M. Reddy; Irith Pomeranz; Huaxing Tang; Seiji Kajihara; Kozo Kinoshita

We consider the problem of testing of interconnect open defects in combinational circuits with large fanout nodes. We propose a gate level fault model for interconnect opens. The number of interconnect open faults using the proposed model can be very large, being exponential in the fanout size. We describe methods to effectively consider the very large numbers of open faults. These methods include techniques for implicit consideration of open faults, and the use of information about fanout branches driving each primary output to reduce the list of faults. We present experimental results to demonstrate that fault simulation and test generation for the modeled open faults can be carried out efficiently using these techniques.


Archive | 1998

Techniques for Reducing Power Dissipation During Test Application in Full Scan Circuits

Vinay Dabholkar; Shubha Chakravarty; Irith Pomeranz; Sudhakar M. Reddy


Archive | 1994

Synthesis of testable sequential circuits: An overview of university activities

Irith Pomeranz; Sudhakar M. Reddy


Archive | 1993

COMPACTTEST: a method to compact test sets for combinational circuits

Irith Pomeranz; Lakshmi N. Reddy; Sudhakar M. Reddy


Archive | 1995

Functional Delay Faults in Macro-based Combinational Circuits

Irith Pomeranz; Sudhakar M. Reddy


Archive | 1994

On identifying un-detectable and redundant path delay faults in syn-chronous sequential circuits

Irith Pomeranz; Sudhakar M. Reddy


Archive | 2006

A DELAYFAULTMODELFORAT-SPEED FAULTSIMULATIONAND TESTGENERATION

Irith Pomeranz; Sudhakar M. Reddy


Archive | 2003

PROPTEST: A Property-Based Test Generator for

Ruifeng Guo; Sudhakar M. Reddy; Irith Pomeranz


Archive | 2001

A Built-In Self-Test Method for Diagnosis of

Irith Pomeranz; Sudhakar M. Reddy


Archive | 1997

MIX : A Test Generation System for

Xijiang Lin; Irith Pomeranz; Sudhakar M. Reddy

Collaboration


Dive into the Sudhakar M. Reddy's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Seiji Kajihara

Kyushu Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge