Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where T. Levin is active.

Publication


Featured researches published by T. Levin.


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


international electron devices meeting | 2008

22 nm technology compatible fully functional 0.1 μm 2 6T-SRAM cell

Bala Haran; Arvind Kumar; L. Adam; Josephine B. Chang; Veeraraghavan S. Basker; Sivananda K. Kanakasabapathy; Dave Horak; S. Fan; Jia Chen; J. Faltermeier; Soon-Cheon Seo; M. Burkhardt; S. Burns; S. Halle; Steven J. Holmes; Richard Johnson; E. McLellan; T. Levin; Yu Zhu; J. Kuss; A. Ebert; J. Cummings; Donald F. Canaperi; S. Paparao; John C. Arnold; T. Sparks; C. S. Koay; T. Kanarsky; Stefan Schmitz; Karen Petrillo

We demonstrate 22 nm node technology compatible, fully functional 0.1 mum2 6T-SRAM cell using high-NA immersion lithography and state-of-the-art 300 mm tooling. The cell exhibits a static noise margin (SNM) of 220 mV at Vdd=0.9 V. We also present a 0.09 mum2 cell with SNM of 160 mV at Vdd=0.9 V demonstrating the scalability of the design with the same layout. This is the worlds smallest 6T-SRAM cell. Key enablers include band edge high-kappa metal gate stacks, transistors with 25 nm gate lengths, thin spacers, novel co-implants, advanced activation techniques, extremely thin silicide, and damascene copper contacts.


international electron devices meeting | 2012

High performance extremely thin SOI (ETSOI) hybrid CMOS with Si channel NFET and strained SiGe channel PFET

Kangguo Cheng; Ali Khakifirooz; Nicolas Loubet; S. Luning; T. Nagumo; M. Vinet; Qing Liu; Thomas N. Adam; S. Naczas; Pouya Hashemi; J. Kuss; J. Li; Hong He; Lisa F. Edge; J. Gimbert; Prasanna Khare; Yu Zhu; Zhengmao Zhu; Anita Madan; Nancy Klymko; Steven J. Holmes; T. Levin; A. Hubbard; Richard Johnson; M. Terrizzi; S. Teehan; A. Upham; G. Pfeiffer; T. Wu; A. Inada

For the first time, we report high performance hybrid channel ETSOI CMOS by integrating strained SiGe-channel (cSiGe) PFET with Si-channel NFET at 22nm groundrules. We demonstrate a record high speed ring oscillator (fan-out = 3) with delay of 8.5 ps/stage and 11.2 ps/stage at VDD = 0.9V and VDD = 0.7V, respectively, outperforming state-of-the-art finFET results. A novel “STI-last” integration scheme is developed to improve cSiGe uniformity and enable ultra high performance PFET with narrow widths. Furthermore, cSiGe modulates device Vt, thus providing an additional knob to enable multi-Vt while maintaining undoped channels for all devices.


international electron devices meeting | 2012

UTBB FDSOI transistors with dual STI for a multi-V t strategy at 20nm node and below

L. Grenouillet; M. Vinet; J. Gimbert; B. Giraud; J. P. Noël; Qing Liu; Prasanna Khare; M. A. Jaud; Y. Le Tiec; Romain Wacquez; T. Levin; P. Rivallin; Steven J. Holmes; S. Liu; K. J. Chen; O. Rozeau; P. Scheiblin; E. McLellan; M. Malley; J. Guilford; A. Upham; Richard Johnson; M. Hargrove; Terence B. Hook; Stefan Schmitz; Sanjay Mehta; J. Kuss; Nicolas Loubet; S. Teehan; M. Terrizzi

We introduce an innovative dual-depth shallow trench isolation (dual STI) scheme for Ultra Thin Body and BOX (UTBB) FDSOI architecture. Since in the dual STI configuration wells are isolated from one another by the deepest trenches, this architecture enables a full use of the back bias while staying compatible with both standard bulk design and conventional SOI substrates. We demonstrate in 20nm ground rules that we are able to tune Vt by more than 400mV, that transistor performance can be boosted by up to 30% and that Ioff can be controlled over 3 decades by allowing more than VDD/2 to be applied on the back gate.


symposium on vlsi technology | 2010

Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond

Qing Liu; Atsushi Yagishita; Nicolas Loubet; Ali Khakifirooz; Pranita Kulkarni; Toyoji Yamamoto; Kangguo Cheng; M. Fujiwara; J. Cai; D. Dorman; Sanjay Mehta; Prasanna Khare; K. Yako; Yu Zhu; S. Mignot; Sivananda K. Kanakasabapathy; S. Monfray; F. Boeuf; Charles W. Koburger; H. Sunamura; Shom Ponoth; Balasubramanian S. Haran; A. Upham; Richard Johnson; Lisa F. Edge; J. Kuss; T. Levin; N. Berliner; Effendi Leobandung; T. Skotnicki

We present UTBB devices with a gate length (L<inf>G</inf>) of 25nm and competitive drive currents. The process flow features conventional gate-first high-k/metal and raised source/drains (RSD). Back bias (V<inf>bb</inf>) enables V<inf>t</inf> modulation of more than 125mV with a V<inf>bb</inf> of 0.9V and BOX thickness of 12nm. This demonstrates the importance and viability of the UTBB structure for multi-V<inf>t</inf> and power management applications. We explore the impact of GP, BOX thickness and V<inf>bb</inf> on local V<inf>t</inf> variability for the first time. Excellent A<inf>Vt</inf> of 1.27 mV·µm is achieved. We also present simulations results that suggest UTBB has improved scalability, reduced gate leakage (I<inf>g</inf>) and lower external resistance (R<inf>ext</inf>), thanks to a thicker inversion gate dielectric (T<inf>inv</inf>) and body (T<inf>si</inf>) thickness.


international electron devices meeting | 2013

High performance UTBB FDSOI devices featuring 20nm gate length for 14nm node and beyond

Qing Liu; M. Vinet; J. Gimbert; Nicolas Loubet; Romain Wacquez; L. Grenouillet; Y. Le Tiec; Ali Khakifirooz; T. Nagumo; Kangguo Cheng; H. Kothari; D. Chanemougame; F. Chafik; S. Guillaumet; J. Kuss; F. Allibert; Gen Tsutsui; J. Li; Pierre Morin; Sanjay Mehta; Richard Johnson; Lisa F. Edge; Shom Ponoth; T. Levin; Sivananda K. Kanakasabapathy; Balasubramanian S. Haran; Huiming Bu; J.-L Bataillon; O. Weber; O. Faynot

We report, for the first time, high performance Ultra-thin Body and Box (UTBB) FDSOI devices with a gate length (L<sub>G</sub>) of 20nm and BOX thickness (T<sub>BOX</sub>) of 25nm, featuring dual channel FETs (Si channel NFET and compressively strained SiGe channel PFET). Competitive effective current (I<sub>eff</sub>) reaches 630μA/μm and 670μA/μm for NFET and PFET, respectively, at off current (I<sub>off</sub>) of 100nA/μm and V<sub>dd</sub> of 0.9V. Excellent electrostatics is obtained, demonstrating the scalability of these devices to14nm and beyond. Very low A<sub>Vt</sub> (1.3mV·μm) of channel SiGe (cSiGe) PFET devices is reported for the first time. BTI was improved >20% vs a comparable bulk device and evidence of continued scalability beyond 14nm is provided.


international electron devices meeting | 2014

FDSOI CMOS devices featuring dual strained channel and thin BOX extendable to the 10nm node

Qing Liu; B. DeSalvo; Pierre Morin; Nicolas Loubet; S. Pilorget; F. Chafik; S. Maitrejean; E. Augendre; D. Chanemougame; S. Guillaumet; H. Kothari; F. Allibert; B. Lherron; B. Liu; Y. Escarabajal; Kangguo Cheng; J. Kuss; Miaomiao Wang; R. Jung; S. Teehan; T. Levin; Muthumanickam Sankarapandian; Richard Johnson; J. Kanyandekwe; Hong He; Rajasekhar Venigalla; Tenko Yamashita; Balasubramanian S. Haran; L. Grenouillet; M. Vinet

We report FDSOI devices with a 20nm gate length (L<sub>G</sub>) and 5nm spacer, featuring a 20% tensile strained Silicon-on-Insulator (sSOI) channel NFET and 35% [Ge] partially compressive strained SiGe-on-Insulator (SGOI) channel PFET. This work represents the first demonstration of strain reversal of sSOI by SiGe in short channel devices. At V<sub>dd</sub> of 0.75V, competitive effective current (I<sub>eff</sub>) reaches 550/340 μA/μm for NFET, at I<sub>off</sub> of 100/1 nA/μm, respectively. With a fully strained 30% SGOI channel on thin BOX (20nm) substrate and V<sub>dd</sub> of 0.75V, PFET I<sub>eff</sub> reaches 495/260 μA/μm, at I<sub>off</sub> of 100/1 nA/μm, respectively. Competitive sub-threshold slope and DIBL are reported. With the demonstrated advanced strain techniques and short channel performance, FDSOI devices can be extended for both high performance and low power applications to the 10nm node.


IEEE Electron Device Letters | 2013

Hole Transport in Strained and Relaxed SiGe Channel Extremely Thin SOI MOSFETs

Ali Khakifirooz; Kangguo Cheng; Nicolas Loubet; Toshiharu Nagumo; Qing Liu; T. Levin; Lisa F. Edge; Hong He; J. Kuss; F. Allibert; Bich-Yen Nguyen; Bruce B. Doris; Ghavam G. Shahidi

We report experimental data comparing aggressively scaled SiGe channel extremely thin SOI MOSFETs with either relaxed or strained channels. The analysis clearly demonstrates that without strain, SiGe channel delivers performance comparable with relaxed Si devices. Significantly higher performance is observed only in compressively strained SiGe channel devices, especially in narrower devices where the transverse component of the strain is partially relaxed.


international interconnect technology conference | 2009

Copper contact metallization for 22 nm and beyond

Soon-Cheon Seo; Chih-Chao Yang; Chun-Chen Yeh; Bala Haran; Dave Horak; Susan Fan; Charles W. Koburger; Donald F. Canaperi; Satyavolu S. Papa Rao; F. Monsieur; Andreas Knorr; Andreas Kerber; Chao-Kun Hu; James Kelly; Tuan Vo; Jason E. Cummings; Matthew Smalleya; Karen Petrillo; Sanjay Mehta; Stefan Schmitz; T. Levin; Dae-Guy Park; James H. Stathis; Terry A. Spooner; Vamsi Paruchuri; Jean E. Wynne; Daniel C. Edelstein; Dale McHerron; Bruce B. Doris

We used Cu contact metallization to solve one of the critical challenges for 22 nm node technology. Cu contact metallization allowed us to demonstrate worlds smallest and fully functional 22 nm node 6T-SRAM [1]. Cu contact metallization was executed using CVD Ru-containing liner. We obtained early reliability data by thermally stressing bulk device. Bulk device parameters such as junction and gate leakage currents and overlap capacitance were stable after BEOL anneal stress. We also demonstrated the extendibility of Cu contact metallization using 15 nm contacts.


international interconnect technology conference | 2011

64 nm pitch Cu dual-damascene interconnects using pitch split double exposure patterning scheme

Shyng-Tsong Chen; H. Tomizawa; Kazumichi Tsumura; M. Tagami; Hosadurga Shobha; Muthumanickam Sankarapandian; O. van der Straten; J. Kelly; Donald F. Canaperi; T. Levin; S. Cohen; Yunpeng Yin; Dave Horak; M. Ishikawa; Yann Mignot; C-S. Koay; S. Burns; Scott Halle; H. Kato; G. Landie; Yongan Xu; A. Scaduto; Erin Mclellan; John C. Arnold; Matthew E. Colburn; Takamasa Usui; Terry A. Spooner

This work demonstrates the building of 64 nm pitch copper single and dual damascene interconnects using pitch split double patterning scheme to enable sub 80nm pitch patterning. A self-aligned-via (SAV) litho/RIE scheme was used to create vias confined by line trenches such that via to line spacing is maximized for better reliability. An undercut free post RIE trench profile enabled the good metal fill. Initial reliability test result and the possibility of using the same scheme for 56 nm pitch interconnects are also discussed.

Researchain Logo
Decentralizing Knowledge