Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Donald F. Canaperi is active.

Publication


Featured researches published by Donald F. Canaperi.


international electron devices meeting | 2003

Fabrication and mobility characteristics of ultra-thin strained Si directly on insulator (SSDOI) MOSFETs

K. Rim; Kevin K. Chan; Leathen Shi; Diane C. Boyd; John A. Ott; N. Klymko; F. Cardone; Leo Tai; Steven J. Koester; M. Cobb; Donald F. Canaperi; B. To; E. Duch; I. Babich; R. Carruthers; P. Saunders; G. Walker; Y. Zhang; M. Steen; Meikei Ieong

A tensile-strained Si layer was transferred to form an ultra-thin (<20 nm) strained Si directly on insulator (SSDOI) structure. MOSFETs were fabricated, and for the first time, electron and hole mobility enhancements were demonstrated on strained Si directly on insulator structures with no SiGe layer present under the strained Si channel.


international electron devices meeting | 2008

22 nm technology compatible fully functional 0.1 μm 2 6T-SRAM cell

Bala Haran; Arvind Kumar; L. Adam; Josephine B. Chang; Veeraraghavan S. Basker; Sivananda K. Kanakasabapathy; Dave Horak; S. Fan; Jia Chen; J. Faltermeier; Soon-Cheon Seo; M. Burkhardt; S. Burns; S. Halle; Steven J. Holmes; Richard Johnson; E. McLellan; T. Levin; Yu Zhu; J. Kuss; A. Ebert; J. Cummings; Donald F. Canaperi; S. Paparao; John C. Arnold; T. Sparks; C. S. Koay; T. Kanarsky; Stefan Schmitz; Karen Petrillo

We demonstrate 22 nm node technology compatible, fully functional 0.1 mum2 6T-SRAM cell using high-NA immersion lithography and state-of-the-art 300 mm tooling. The cell exhibits a static noise margin (SNM) of 220 mV at Vdd=0.9 V. We also present a 0.09 mum2 cell with SNM of 160 mV at Vdd=0.9 V demonstrating the scalability of the design with the same layout. This is the worlds smallest 6T-SRAM cell. Key enablers include band edge high-kappa metal gate stacks, transistors with 25 nm gate lengths, thin spacers, novel co-implants, advanced activation techniques, extremely thin silicide, and damascene copper contacts.


international reliability physics symposium | 2004

Effects of overlayers on electromigration reliability improvement for Cu/low K interconnects

C.-K. Hu; Donald F. Canaperi; Shyng-Tsong Chen; Lynne M. Gignac; B. Herbst; Steffen Kaldor; Mahadevaiyer Krishnan; E. Liniger; David L. Rath; Darryl D. Restaino; R. Rosenberg; J. Rubino; S.-C. Seo; Andrew H. Simon; S. Smith; W.-T. Tseng

Electromigration in Cu Damascene lines capped with either a CoWP, Ta/TaN, SiN/sub x/, or SiC/sub x/N/sub y/H/sub z/ layer was reviewed. A thin CoWP or Ta/TaN cap on top of the Cu line surface significantly reduced interface diffusion and improved the electromigration lifetime when compared with lines capped with SiN/sub x/ or SiC/sub x/N/sub y/H/sub z/. Activation energies for electromigration were found to be 2.0 eV, 1.4 eV, and 0.85-1.1 eV for the Cu lines capped with CoWP, Ta/TaN, and SiN/sub x/ or SiC/sub x/N/sub y/H/sub z/, respectively.


Applied Physics Letters | 2004

Atom motion of Cu and Co in Cu damascene lines with a CoWP cap

C.-K. Hu; Lynne M. Gignac; Robert Rosenberg; B. Herbst; Sean P. E. Smith; Judith M. Rubino; Donald F. Canaperi; Shyng-Tsong Chen; S. C. Seo; Darryl D. Restaino

Electromigration of Cu and diffusion of Co in Cu damascene bamboo-like grain structure lines capped with CoWP have been studied for sample temperatures between 350 and 425 °C. Void growth from the Cu line/W via interface was observed. Bulk-like activation energy for electromigration of 2.4±0.2 eV was obtained for these samples suggesting that electromigration damage is greatly diminished for these on-chip Cu interconnections. The solubility and diffusivity of Co in Cu was determined from line resistance measurements of thermally annealed Cu lines which were affected by Co diffusion into the Cu line.


international interconnect technology conference | 2010

CVD Co and its application to Cu damascene interconnections

Takeshi Nogami; J. Maniscalco; Anita Madan; Philip L. Flaitz; P. DeHaven; Christopher Parks; Leo Tai; B. St. Lawrence; R. Davis; Richard J. Murphy; Thomas M. Shaw; S. Cohen; C.-K. Hu; Cyril Cabral; Sunny Chiang; J. Kelly; M. Zaitz; J. Schmatz; S. Choi; Kazumichi Tsumura; Christopher J. Penny; H.-C. Chen; Donald F. Canaperi; Tuan Vo; F. Ito; Oscar van der Straten; Andrew H. Simon; S-H. Rhee; B-Y. Kim; T. Bolom

Fundamental material interactions as pertinent to nano-scale copper interconnects were studied for CVD Co with a variety of micro-analytical techniques. Native Co oxide grew rapidly within a few hours (XPS). Incorporation of oxygen and carbon in the CVD Co films (by AES and SIMS) depended on underlying materials, such as Ta, TaN, or Ru. Copper film texture (by XRD) and agglomeration resistance (by AFM) showed correlations with amounts of in-film oxygen/carbon. Cobalt diffused through copper at normal processing temperatures (by SIMS). CVD Co demonstrated diffusion barrier performance to Cu (by Triangular Voltage Sweep, TVS), but not to O2. CVD Co was applied to 32 nm/22 nm damascene Cu interconnect fabrication in a scheme defined by the material studies. Lower post-CMP defect density and longer electromigration lifetimes were obtained.


international electron devices meeting | 2016

A 7nm FinFET technology featuring EUV patterning and dual strained high mobility channels

R. Xie; Pietro Montanini; Kerem Akarvardar; Neeraj Tripathi; Balasubramanian S. Haran; S. Johnson; Terence B. Hook; B. Hamieh; D. Corliss; Junli Wang; X. Miao; J. Sporre; Jody A. Fronheiser; Nicolas Loubet; M. Sung; S. Sieg; Shogo Mochizuki; Christopher Prindle; Soon-Cheon Seo; Andrew M. Greene; Jeffrey Shearer; A. Labonte; S. Fan; L. Liebmann; Robin Chao; A. Arceo; Kisup Chung; K. Y. Cheon; Praneet Adusumilli; H.P. Amanapu

We present a 7nm technology with the tightest contacted poly pitch (CPP) of 44/48nm and metallization pitch of 36nm ever reported in FinFET technology. To overcome optical lithography limits, Extreme Ultraviolet Lithography (EUV) has been introduced for multiple critical levels for the first time. Dual strained channels have been also implemented to enhance mobility for high performance applications.


international electron devices meeting | 2010

High reliability 32 nm Cu/ULK BEOL based on PVD CuMn seed, and its extendibility

Takeshi Nogami; T. Bolom; A. Simon; B-Y. Kim; C.-K. Hu; K. Tsumura; Anita Madan; F. Baumann; Y. Wang; P. Flaitz; Christopher Parks; P. DeHaven; R. Davis; M. Zaitz; B. St. Lawrence; Richard J. Murphy; Leo Tai; S. Molis; S-H. Rhee; T. Usui; Cyril Cabral; J. Maniscalco; L. Clevenger; Baozhen Li; C. Christiansen; F. Chen; T. Lee; J. Schmatz; Hosadurga Shobha; F. Ito

A 32 nm BEOL with PVD CuMn seedlayer and conventional PVD-TaN/Ta liner was fully characterized by fundamental, integrated, and reliability methods. CuMn was confirmed to have fundamental advantages over CuAl, such as higher electromigration (EM) reliability for the same Cu line resistance (R). Both low R and high reliability (EM, SM, and TDDB) were achieved. Improved extendibility of CuMn relative to CuAl was also supported by studies of alloy interactions with advanced liner materials Ru and Co, and by enhancement of ultra-thin TaN barrier performance.


IEEE Electron Device Letters | 2005

Laterally scaled Si-Si/sub 0.7/Ge/sub 0.3/ n-MODFETs with f/sub max/>200 GHz and low operating bias

S. J. Koester; Katherine L. Saenger; J. O. Chu; Qiqing Ouyang; John A. Ott; Keith A. Jenkins; Donald F. Canaperi; J. A. Tornello; C. V. Jahnes; Steven E. Steen

We report on the dc and RF characterization of laterally scaled, Si-SiGe n-MODFETs. Devices with gate length, L/sub g/, of 80 nm had f/sub T/=79 GHz and f/sub max/=212 GHz, while devices with L/sub g/=70 nm had f/sub T/ as high as 92 GHz. The MODFETs displayed enhanced f/sub T/ at reduced drain-to-source voltage, V/sub ds/, compared to Si MOSFETs with similar f/sub T/ at high V/sub ds/.


international interconnect technology conference | 2016

Tungsten and cobalt metallization: A material study for MOL local interconnects

Vimal Kamineni; Mark Raymond; Shariq Siddiqui; S. Tsai; C. Niu; A. Labonte; Cathy Labelle; Susan Su-Chen Fan; Brown Peethala; Praneet Adusumilli; Raghuveer Patlolla; Deepika Priyadarshini; Yann Mignot; A. Carr; S. Pancharatnam; J. Shearer; C. Surisetty; John C. Arnold; Donald F. Canaperi; Balasubramanian S. Haran; H. Jagannathan; F. Chafik; B. L'Herron

Middle-of-the-line (MOL) interconnect and contact resistances represent significant impacts to high-end IC performance at ≤ 10 nm nodes. CVD W-based metallization has been used for all nodes since the inception of damascene. However, it is now being severely challenged due to limited scaling of the traditional PVD Ti/CVD TiN barrier and ALD nucleation layers. This study reports the use of alternate barriers, along with metal-to-metal contact interface cleans, to reduce contact resistance for W-based MOL metallization. As well, we report the first use of Co metal for MOL contacts and local interconnects, with successful integration below a Cu BEOL dual damascene V0/M1 module. Metal line resistances are compared among the various options, and the challenges with each option are highlighted.


international interconnect technology conference | 2016

Experimental study of nanoscale Co damascene BEOL interconnect structures

J. Kelly; James Chen; H. Huang; C.-K. Hu; E. Liniger; Raghuveer Patlolla; Brown Peethala; Praneet Adusumilli; Hosadurga Shobha; Takeshi Nogami; Terry A. Spooner; Elbert E. Huang; Daniel C. Edelstein; Donald F. Canaperi; Vimal Kamineni; S. Siddiqui

We characterize integrated dual damascene Co and Cu BEOL lines and vias, at 10 nm node dimensions. The Co to Cu line resistance ratios for 24 nm and 220 nm wide lines were 2.1 and 3.5, respectively. The Co via resistance was just 1.7 times that of Cu, with the smaller ratio attributed to the barrier layer series via resistance. Electrical continuity of Co via chain structures was good, while some chain-chain shorts and leakage suggests metal residuals from the Co polish process. The Co lines and vias, fabricated using conventional BEOL processes, exhibit good Co fill by TEM, with no visible evidence of Co in the dielectric. The relatively smaller resistance increase for Co vias suggests a potential via resistance benefit, a thinner or less resistive barrier can be employed. Co line resistance will likely not be competitive with Cu until after the next technology node.

Researchain Logo
Decentralizing Knowledge