Timon Fliervoet
ASML Holding
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Timon Fliervoet.
Proceedings of SPIE | 2010
Peter Vanoppen; Thomas Theeuwes; Henry Megens; Hugo Augustinus Joseph Cramer; Timon Fliervoet; Martin Ebert; Danu Satriasaputra
Holistic lithography is needed to cope with decreasing process windows and is built on three pillars: Scanner Tuning, Computational Lithography and Metrology & Control. The relative importance of stability to the overall manufacturing process latitude increases. Overlay and focus stability control applications are important elements in improving stability of the lithographic process. The control applications rely on advanced control algorithms and fast and precise metrology. To address the metrology needs at the 32 nm node and beyond, an optical scatterometry tool was developed capable of measuring CD, focus-dose as well as overlay. Besides stability and control of lithographic performance also scanner matching is a critical enabler where application development and metrology performance are key. In this paper we discuss the design and performance of the metrology tool, the focus and overlay control application and the application of scatterometry in scanner matching solutions.
Proceedings of SPIE | 2009
Jo Finders; Mircea Dusa; Bert Vleeming; Timon Fliervoet; Birgitt Hepp; Henry Megens; Remco Jochem Sebastiaan Groenendijk; John Quaedackers; Evert C. Mos; Christian Marinus Leewis; Frank Bornebroek; Mireille Maenhoudt; Marc Leblans; Tom Vandeweyer; Gayle Murdoch; Efrain Altamirano Sanchez
In this paper we present a methodology to investigate and optimize the CD balance between the four features of a final 32nm lines and space pattern created by spacer pitch doubling. Metrology (SEM and scatterometry) was optimized to measure and separate the two lines and the two spaces of the 32nm features. In case a space unbalance emerged during the various processing steps such as etch and deposition, this was compensated by calculating and feed-back local dose offsets to the scanner. For the spacer process used in this study we observe 20..40% improvement in space CDU and space balance, when applying the dose corrections.
Proceedings of SPIE | 2016
Iacopo Mochi; Vicky Philipsen; Emily Gallagher; Eric Hendrickx; Kateryna Lyakhova; Friso Wittebrood; Guido Schiffelers; Timon Fliervoet; Shibing Wang; Vince Plachecki; Stan Baron; Bart Laenens
Assist features are commonly used in DUV lithography to improve the lithographic process window of isolated features under illumination conditions that enable the printability of dense features. With the introduction of EUV lithography, the interaction between 13.5 nm light and the mask features generates strong mask 3D effects. On wafer, the mask 3D effects manifest as pitch-dependent best focus positions, pattern asymmetries and image contrast loss. To minimize the mask 3D effects, and enhance the lithographic process window, we explore by means of wafer print evaluation the use of assist features with different sizes and placements. The assist features are placed next to isolated features and two bar structures, consistent with theN5 (imec iN7) node dimensions for 0.33NA and we use different types of off-axis illumination . For the generic iN7 structures, wafer imaging will be compared to simulation results and an assessment of optimal assist feature configuration will be made. It is also essential to understand the potential benefit of using assist features and to weigh that benefit against the price of complexity associated with adding sub-resolution features on a production mask. To that end, we include an OPC study that compares a layout treated with assist features, to one without assist features, using full-chip complexity metrics like data size.
Proceedings of SPIE | 2014
D.J. Maas; Emile van Veldhoven; Anja van Langen–Suurling; Paul F. A. Alkemade; Sander Frederik Wuister; Rik Hoefnagels; Coen Verspaget; Jeroen Meessen; Timon Fliervoet
For the introduction of EUV lithography, development of high performance EUV resists is of key importance. This development involves studies into resist sensitivity, resolving power and pattern uniformity. We have used a sub-nanometer-sized 30 keV helium ion beam to expose chemically amplified (CAR) EUV resists. There are similarities in the response of resists to He+ ions and EUV photons: both excite Secondary Electrons with similar energy distributions.The weak backscattering of the He+ ions results in ultra-low proximity effects. This fact enables the exposure of dense and detailed patterns by focused He+ ion beams without the need for proximity correction. This paper presents contact holes and lines at 40-nm pitch in an EUV CAR resist. We have used resist sensitivity, contrast, resolution (CD) and pattern fidelity (LCDU, LWR and dose-to-print) as metrics for a comparison of SHIBL with EUVL. We show that Scanning Helium Ion Beam Lithography (SHIBL) can be a useful and economically attractive technology to (pre-)screen novel EUV resists prior to their final performance evaluation in an EUV scanner.
29th European Mask and Lithography Conference | 2013
Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Natalia Davydova; Timon Fliervoet; Jens Timo Neumann
This paper presents a detailed mask stack modeling based on experimental actinic characterization of the EUV mask stack. A dedicated mask has been fabricated with line/space gratings down to 40nm half-pitch (at mask level, i.e., 10nm at wafer). Using the Advanced Light Source facility at LBNL extensive reflectometry and diffractometry have been performed. The experimental reflectivity results through incidence angle and through EUV wavelength enable us to model both the multilayer definition, as well as the absorber definition in the simulator. The effective performance of the calibrated mask stack in the simulator is validated against the experimental diffractometry results through incidence angle. The presented experimental mask stack characterization and modeling allows a better definition of the mask stack in the simulation tools to enhance their predictive and precompensation power.
Review of Scientific Instruments | 2015
D.J. Maas; Timon Fliervoet; R. Herfst; E. van Veldhoven; Jeroen Meessen; V. Vaenkatesan; H. Sadeghian
With lithographic patterning dimensions decreasing well below 50 nm, it is of high importance to understand metrology at such small scales. This paper presents results obtained from dense arrays of contact holes (CHs) with various Critical Dimension (CD) between 15 and 50 nm, as patterned in a chemically amplified resist using an ASML EUV scanner and measured at ASML and TNO. To determine the differences between various (local) CD metrology techniques, we conducted an experiment using optical scatterometry, CD-Scanning Electron Microscopy (CD-SEM), Helium ion Microscopy (HIM), and Atomic Force Microscopy (AFM). CD-SEM requires advanced beam scan strategies to mitigate sample charging; the other tools did not need that. We discuss the observed main similarities and differences between the various techniques. To this end, we assessed the spatial frequency content in the raw images for SEM, HIM, and AFM. HIM and AFM resolve the highest spatial frequencies, which are attributed to the more localized probe-sample interaction for these techniques. Furthermore, the SEM, HIM, and AFM waveforms are analyzed in detail. All techniques show good mutual correlation, albeit the reported CD values systematically differ significantly. HIM systematically reports a 25% higher CD uniformity number than CD-SEM for the same arrays of CHs, probably because HIM has a higher resolution than the CD-SEM used in this assessment. A significant speed boost for HIM and AFM is required before these techniques are to serve the demanding industrial metrology applications like optical critical dimension and CD-SEM do nowadays.
Proceedings of SPIE | 2015
Gian F. Lorusso; Ming Mao; Liesbeth Reijnen; Katja Viatkina; Roel Knops; Gijsbert Rispens; Timon Fliervoet
Contact Hole (CH) Local Critical Dimension Uniformity (LCDU) has a direct impact on device performance. As a consequence, being able to understand and quantifying the different LCDU contributors and the way they evolve during the various process steps is critical. In this work the impact of etch process on LCDU for different resists and stacks is investigated on ASML NXE:3100 and NXE:3300. LCDU is decomposed into shot noise, mask, and metrology components. The design of the experiment is optimized to minimize the decomposition error. CD and LCDU are monitored and found to be stable. We observed that the net effect of the etch process is to improve LCDU, although the final LCDU is both stack- and resist-dependent. Different resists demonstrate the same LCDU improvement, so that the LCDU after etch will depend on the initial resist performance. Using a stack different from the one used to set up the etch process can undermine the LCDU improvement. The impact of the various etch steps is investigated in order to identify the physical mechanisms responsible for the LCDU improvement through etch. Both top-down and cross section Scanning Electron Microscopy (SEM) are used. The step-by-step analysis of the etch process showed that the main LCDU improvement is achieved during oxide etch, while the other process steps are either ineffective or detrimental in terms of LCDU. The main cause of the LCDU improvement is then attributed to the polymerization of the CH surface happening during the oxide etch. Finally, the LCDU improvement caused by the etch process is investigated as a function of the initial LCDU after litho in a relatively broad range (2-15nm). The ratio between LCDU after litho over LCDU after etch is investigated as a function of the initial LCDU after litho for two different resists. The results indicate that the impact of etch on LCDU is characterized by a single curve, specific to the etch process in use and independent of the resist type. In addition, we observe that the percentage LCDU improvement is constant above a certain threshold, in agreement with the throughpitch results.
Proceedings of SPIE | 2017
V. M. Blanco Carballo; Joost Bekaert; Ming Mao; B. Kutrzeba Kotowska; Stephane Larivière; Ivan Ciofi; Rogier Baert; Ryoung-Han Kim; Emily Gallagher; Eric Hendrickx; Ling Ee Tan; Werner Gillijns; Darko Trivkovic; Philippe Leray; Sandip Halder; M. Gallagher; Frederic Lazzarino; Sara Paolillo; Danny Wan; Arindam Mallik; Yasser Sherazi; G. McIntyre; Mircea Dusa; P. Rusu; Thijs Hollink; Timon Fliervoet; Friso Wittebrood
This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho and after etch, and variability was characterized both with conventional CD-SEM measurements as well as Hitachi contouring method. After analyzing the patterning of these layers, the impact of variability on potential interconnect reliability was studied by using MonteCarlo and process emulation simulations to determine if current litho/etch performance would meet success criteria for the given platform design rules.
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015
Lieve Van Look; Vicky Philipsen; Eric Hendrickx; Geert Vandenberghe; Natalia Davydova; Friso Wittebrood; Robert de Kruif; Anton van Oosten; Junji Miyazaki; Timon Fliervoet; Jan van Schoot; Jens Timo Neumann
Traditional EUV masks, with absorber on top of the multi-layer (ML) mirror, generally suffer from mask 3D effects: H/V shadowing, best focus shifts through pitch and pattern shifts through focus. These effects reduce the overlapping process window, complicate optical proximity correction and generate overlay errors. With further pitch scaling, these mask 3D effects are expected to become stronger, increasing the need for a compensation strategy. In this study, we have proven by simulations and experiments that alternative mask technologies can lower mask 3D effects and therefore have the potential to improve the imaging of critical EUV layers. We have performed an experimental imaging study of a prototype Etched ML mask, which has recently become available. This prototype alternative mask has only half the ML mirror thickness (20 Mo/Si pairs) and contains no absorber material at all. Instead, the ML mirror is etched away to the substrate at the location of the dark features. For this Etched ML mask, we have compared the imaging performance for mask 3D related effects to that of a standard EUV mask, using wafer exposures at 0.33 NA. Experimental data are compared to the simulated predictions and the benefits and drawbacks of such an alternative mask are shown. Besides the imaging performance, we will also discuss the manufacturability challenges related to the etched ML mask technology.
International Conference on Extreme Ultraviolet Lithography 2018 | 2018
Eelco van Setten; John McNamara; Gerardo Bottiglieri; Kars Zeger Troost; Jan van Schoot; Timon Fliervoet; Jörg Zimmermann; Jens-Timo Neumann; Matthias Rösch; Paul Graeupner
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of these future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with a numerical aperture of 0.55NA that enables 8nm HP resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer masks at the increased NA, but also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen such to have minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% PFR. Since imaging is done with unpolarized EUV light, so-called vector effects at high resolution need to be accounted for appropriately. In this paper we will show the implications of the High-NA EUV system design on key performance metrics such as global CDU, pattern shift uniformity (overlay) and contrast for low local CDU at high throughput for several relevant use-cases.