Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where V. Kripesh is active.

Publication


Featured researches published by V. Kripesh.


electronic components and technology conference | 2008

High RF performance TSV silicon carrier for high frequency application

Soon Wee Ho; Seung Wook Yoon; Qiaoer Zhou; Krishnamachar Pasad; V. Kripesh; John H. Lau

Three dimensional system-in-package (3D SiP) based on silicon carriers or interposer is a fast emerging technology that offers system design flexibility and integration of heterogeneous technologies. One of the key technologies enabler for silicon carrier is through silicon via (TSV). The development of 3D SiP will require the devices with different functionality operating at high frequency to be densely packed on the silicon substrate. However, silicon substrate is usually of low resistivity, when a high frequency signal is transmitted vertically through the substrate via, significant signal attenuation can occur that leads to poor RF performance. In this paper, a coaxial TSV structure in silicon carrier is presented for high frequency applications. The coaxial TSV is able to suppress undesirable substrate loss as well as provide good impedance matching. Electrical modeling of coaxial TSV structure was carried out to obtain the required geometries for impedance matching. Three different types of test vehicles were fabricated; Cu-plug TSV in both low (~10 Omega-cm) and high resistivity (~4000 Omega-cm) silicon substrate, and coaxial TSV in low resistivity silicon substrate. The S-parameters of the via structure of the test vehicles were measured from 100 MHz to 10 GHz. The measured results show that the coaxial TSV structure is able to suppress silicon substrate loss and provide good RF performance compared to Cu-plug TSV structure.


electronic components and technology conference | 2009

Development of through silicon via (TSV) interposer technology for large die (21×21mm) fine-pitch Cu/low-k FCBGA package

Xiaowu Zhang; T. C. Chai; John H. Lau; Cheryl S. Selvanayagam; Kalyan Biswas; Shiguo Liu; D. Pinjala; Gongyue Tang; Yue Ying Ong; Srinivasa Rao Vempati; Eva Wai; Hong Yu Li; Ebin Liao; Nagarajan Ranganathan; V. Kripesh; Jiangyan Sun; John Doricko; C. J. Vath

Because of Moores (scaling/integration) law, the Cu/low-k silicon chip is getting bigger, the pin-out is getting higher, and the pitch is getting finer. Thus, the conventional organic buildup substrates cannot support these kinds of silicon chips anymore. To address these needs, Si interposer with TSV has emerged as a good solution to provide high wiring density interconnection, to minimize CTE mismatch to the Cu/low-k chip that is vulnerable to thermal-mechanical stress, and to improve electrical performance due to shorter interconnection from the chip to the substrate. This paper presents the development of TSV interposer technology for a 21×21 mm Cu/low-k test chip on FCBGA package. The Cu/low-k chip is a 65 nm, 9-metal layer chip with 150 µm SnAg bump pitch of total 11,000 I/O, with via chain and daisy chain for interconnect integrity monitoring and reliability testing. The TSV interposer size is 25×25×0.3 mm with CuNiAu as UBM on the top side, and SnAgCu bumps on the underside. The conventional BT substrate size is 45×45 mm with BGA pad pitch of 1 mm and core thickness of 0.8 mm. Mechanical and thermal modeling and simulation for the FCBGA package with TSV interposer have been performed. TSV interposer fabrication processes and assembly process of the large die mounted on TSV interposer with Pb-free micro solder bumps and underfill have been set up. The FCBGA samples have been subjected to moisture sensitivity test and thermal cycling (TC) reliability assessments.


Journal of Applied Physics | 2006

Electromigration in flip chip solder joints having a thick Cu column bump and a shallow solder interconnect

Jae-Woong Nah; J. O. Suh; K. N. Tu; Seung Wook Yoon; Vempati Srinivasa Rao; V. Kripesh; Fay Hua

In advanced electronic products, current crowding induced electromigration failure is one of the serious problems in fine pitch flip chip solder joints. To explore a strong resistance against current crowding induced electromigration failure, a very thick Cu column bump combined with a shallow solder interconnect at 100μm pitch for flip chip applications has been studied in this paper. Results revealed that these interconnects do not fail after 720h of current stressing at 100°C with a current density of 1×104A∕cm2 based on the area of interface between Cu column bump and solder. The reduction of current crowding in the solder region by using thick Cu column bumps increased the reliability against electromigration induced failure. The current distribution in a flip chip joint of a Cu column bump combined with a shallow solder has been confirmed by simulation. However, Kirkendall void formation was found to be much serious and enhanced by electromigration at the Cu∕Cu3Sn interface due to the large Cu∕Sn ra...


IEEE Transactions on Components and Packaging Technologies | 2010

Development of 3-D Silicon Module With TSV for System in Packaging

Navas Khan; Vempati Srinivasa Rao; Samuel Lim; Ho Soon We; Vincent Lee; Xiaowu Zhang; Ebin Liao; Ranganathan Nagarajan; T. C. Chai; V. Kripesh; John H. Lau

Portable electronic products demand multifunctional module comprising of digital, radio frequency and memory functions. Through silicon via (TSV) technology provides a means of implementing complex, multifunctional integration with a higher packing density for a system in package. A 3-D silicon module with TSV has been developed in this paper. Thermo-mechanical analysis has been performed and TSV interconnect design is optimized. Multiple chips representing different functional circuits are assembled using wirebond and flip chip interconnection methods. Silicon carrier is fabricated using via-first approach, the barrier copper via is exposed by the backgrinding process. A two-stack silicon module is developed and module fabrication details are given in this paper. The module reliability has been evaluated under temperature cycling (-40/125°C ) and drop test.


IEEE Transactions on Advanced Packaging | 2005

Three-dimensional system-in-package using stacked silicon platform technology

V. Kripesh; Seung Wook Yoon; V. P. Ganesh; Navas Khan; Mihai Rotaru; Wang Fang; Mahadevan K. Iyer

In this paper, a novel method of fabricating three-dimensional (3-D) system-in-package (SiP) using a silicon carrier that can integrate known good dice with an integrated cooling solution is presented. The backbone of this stacked module is the fabrication of a silicon carrier with through-hole conductive interconnects. The design, process, and assembly to fabricate silicon through-hole interconnect using a wet silicon etching method is discussed in this paper. The process optimization to fabricate silicon carriers with solder through-hole interconnect within the design tolerance has been achieved. The design and modeling methodology to optimize the package in terms of electrical aspects of the stacked module is carried out to achieve less interconnect parasitics. An integrated cooling solution for 3-D stacked modules using single-phase and two-phase cooling solutions is also demonstrated for high-power applications. Known good thin flip-chip devices with daisy chain are fabricated and attached to the silicon carrier by flip-chip processes making it a known good carrier after electrical testing. Individual known good carriers are vertically integrated to form 3-D SiP.


electronic components and technology conference | 2009

Study of 15µm pitch solder microbumps for 3D IC integration

Aibin Yu; John H. Lau; Soon Wee Ho; Aditya Kumar; Wai Yin Hnin; Daquan Yu; Ming Ching Jong; V. Kripesh; D. Pinjala; Dim-Lee Kwong

Developments of ultra fine pitch and high density solder microbumps and assembly process for low cost 3D stacking technologies are discussed in this paper. The solder microbumps developed in this work consist of Cu and Sn, which are electroplated in sequential with total thickness of 10µm; The under bump metallurgy (UBM) pads used here is electroless plated nickel and immersion gold (ENIG) with thickness of 2µm. Accordingly, joining of the two Si chips can be conducted by joining CuSn solder microbumps to ENIG UBM pads or CuSn solder microbumps to CuSn solder microbumps. The first joining can only be done with chip to chip assembly whereas the second joining has the potential for chip to wafer assembly. Assembly of the Si chips is conducted with the FC150 flip chip bonder at different temperatures, times, and pressures and the optimized bonding conditions are obtained. After assembly, underfill process is carried out to fill the gap and a void free underfilling is achieved using an underfill material with fine filler size.


Journal of Micromechanics and Microengineering | 2006

A thick photoresist process for advanced wafer level packaging applications using JSR THB-151N negative tone UV photoresist

Vempati Srinivasa Rao; V. Kripesh; Seung Wook Yoon; Andrew A. O. Tay

The development of thick photoresist molds using JSR THB-151N negative tone UV photoresist for the electroplating of interconnects in advanced packaging technologies has been demonstrated. Two different thick photoresist molds 65 and 130 µm high with aspect ratios of up to 2.6 have been fabricated with good reproducibility using single and double coating processes. Optimized lithography parameters using a UV aligner to achieve straight and near-vertical side-wall profiles are also reported. Near-vertical side walls similar to that obtained using SU-8 photoresist have been obtained. JSR photoresist has been found to be easily striped with no residues in solvent stripper solutions, making it suitable for wafer bumping applications and the processing of MEMS devices. Through-mold electroplating of copper and solder is also demonstrated. The simultaneous fabrication of 1167 000 high density interconnects on 8 inch wafers, using lithography and electroplating technologies, is also reported.


electronic components and technology conference | 2009

Wafer level embedding technology for 3D wafer level embedded package

Aditya Kumar; Xia Dingwei; Vasarla Nagendra Sekhar; Sharon Lim; Chin Keng; Gaurav Sharma; Vempati Srinivas Rao; V. Kripesh; John H. Lau; Dim-Lee Kwong

This paper presents the development of wafer level embedding process for a three dimensional (3D) embedded micro wafer level package (EMWLP). Wafer level embedding process was carried out by using compression molding machine and low-cost granular epoxy molding compound (EMC). Various molding process parameters such as molding time and temperature and three EMCs of different CTEs were analyzed to achieve reliable 3D EMWLP. Several molding process issues, such as warpage, die-sweep, EMC penetration, and die-shift, were faced during embedding process development. A large warpage of more than 1 mm and die-shift of more than 600 µm were found to occur in reconstructed molded wafer. Wafer level embedding process was optimized to reduce warpage and die-shift problems. A significant reduction in warpage (∼ 30 %) and die-shift (∼ 88 %) were achieved after embedding process optimization. The detail of process optimization is presented in the paper. Reconstructed molded wafers were subjected to various reliability tests, such as thermal cycle (TC), moisture sensitivity test-level 3 (MST-L3), and highly accelerated stress test (HAST). Scanning acoustic microscopy (SAM) analysis of molded wafers was carried out to analyze the void formation and delamination in molded wafers. No major void or delamination was observed in reconstructed wafer after molding as well as after reliability tests.


electronic components and technology conference | 2007

Chip-last Embedded Active for System-On-Package (SOP)

Baik-Woo Lee; Venky Sundaram; Boyd Wiedenman; Chong K. Yoon; V. Kripesh; Mahadevan K. Iyer; Rao R. Tummala

Embedded active technology, in which thinned active chips are directly buried into a core or high-density-interconnect layers, is gaining more interest for ultra-miniaturization, increased functionality and better performance of SOP (system-on-package). In this study, chip-last embedded active concept is proposed to address some of process and reliability issues that current chip-first and chip-middle embedded active approaches have. The detailed process development for the first prototype of chip-last embedded active is described in this paper.


IEEE Transactions on Components, Packaging and Manufacturing Technology | 2011

Fabrication of High Aspect Ratio TSV and Assembly With Fine-Pitch Low-Cost Solder Microbump for Si Interposer Technology With High-Density Interconnects

Aibin Yu; John H. Lau; Soon Wee Ho; Aditya Kumar; Wai Yin Hnin; Wen Sheng Lee; Ming Ching Jong; Vasarla Nagendra Sekhar; V. Kripesh; D. Pinjala; Scott Chen; Chien-Feng Chan; Chun-Chieh Chao; Chi-Hsin Chiu; Chih-Ming Huang; Carl Chen

Fabrication of high aspect ratio through silicon vias (TSVs) in a Si interposer and fine pitch solder microbumps on a top Si die is discussed in this paper. Chip stacking result of the Si interposer and the top Si die is also presented. TSVs with 25 μm in pitch and aspect ratio higher than 10 are etched with BOSCH process. To avoid difficulties in wetting the sidewall of the TSVs, bottom-up plating method is used to fill the TSVs with Cu. In order to fill the TSVs from bottom, the TSVs are first sealed from the bottom by plated Cu with plating current of 1 A. The plated Cu is used as a seed layer and bottom-up plating is then conducted with plating current of 0.1 A. Good filling without voids or with only tiny voids has been achieved. Electroless nickel/immersion gold is plated on top of the TSVs as under bump metallurgy pads. On the top Si die, Cu pillars/Sn caps with 16 μm in diameter and 25 μm in pitch are fabricated with electroplating method. After chip stacking, interconnections are formed between them through the solder microbumps and the TSVs.

Collaboration


Dive into the V. Kripesh's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Andrew A. O. Tay

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge