Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Wolf-Dieter Domke is active.

Publication


Featured researches published by Wolf-Dieter Domke.


Advances in resist technology and processing. Conference | 2005

Comparison of resist outgassing at wavelengths from 193 nm to 13 nm

Wolf-Dieter Domke; Karl Kragler; Marion Kern; Klaus Lowack; Oliver Kirch; Michele Bertolo

Corresponding to the ITRS roadmap, EUV Lithography will in the not-too-far future reach the point, where critical resist dimensions are in the same order of magnitude as polymer chains and acid diffusion lengths, while photon energies will largely exceed the binding energies of all organic molecules. Especially in EUV, where secondary electron side reactions may lead to a higher outgassing of polymer fragments than in 157nm and 193nm lithography, outgassing is agreed to be a critical issue for resist development. In this paper EUV, 193nm and 157nm outgassing is characterized using an online mass spectrometer attached to several different outgassing setups (i.e. synchrotron, laser). The total outgassing and the time dependent outgassing of resist fragments has been characterized for a number of resist polymer platforms. The results are compared and discussed in terms of the applied photon energies and differences in EUV, 157nm and 193nm exposures. Time dependent scanning of selected mass channels was used to differentiate if an outgassing fragment had its origin from the photoacid generator (PAG) or from a photolytic or a photochemical reaction of the polymer matrix. For EUV, correlations are given between resist outgassing and high dose crosslinking and scissioning behaviour of EUV resists.


SPIE's 27th Annual International Symposium on Microlithography | 2002

EUV photoresist performance results from the VNL and the EUV LLC

Jonathan L. Cobb; Paul M. Dentinger; Luke L. Hunter; Donna J. O'Connell; Gregg M. Gallatin; William D. Hinsberg; Frances A. Houle; Martha I. Sanchez; Wolf-Dieter Domke; Stefan Wurm; Uzodinma Okoroanyanwu; Sang Hun Lee

If EUV lithography is to be inserted at the 65-nm node of the 2001 International Technology Roadmap for Semiconductors, beta-tool resists must be ready in 2004. These resists should print 35-65 nm lines on a 130-nm pitch with LER below 4 nm 3s. For throughput considerations, the sizing dose should be below 4 mJ/cm2. The VNL and EUV LLC resist development program has measured the resolution, LER, and sizing dose of approximately 60 ESCAP photoresists with the 10X exposure tools at Sandia National Laboratories. The NA of these tools is 0.088, and every resist measured would support the beta-tool resolution requirement if the resolution scales with NA as predicted by optics. 50-nm dense lines have been printed with monopole off-axis illumination, but 35-nm resolution on a 130-nm pitch remains to be demonstrated. Only one photoresist met the LER specification, but its sizing dose of 22 mJ/cm2 is over five times too large. The power spectral density of the roughness of every resist has a Lorentzian line shape, and most of the roughness comes from frequencies within the resolution of the exposure tools. This suggests a strong contribution from mask and optics, but more work needs to be done to determine the source of the roughness. Many resists have sizing doses below the 4 mJ/cm2 target, and neither resolution nor LER degrades with decreasing sizing dose, suggesting that shot noise is not yet affecting the results. The best overall resist resolved 80-nm dense lines with 5.3 nm 3s LER on 100-nm dense lines at a sizing dose of 3.2 mJ/cm2. Thus, it comes close to, but does not quite meet, the beta-tool resist targets.


Emerging Lithographic Technologies IX | 2005

Comparison of EUV mask architectures by process window analysis

Siegfried Schwarzl; Frank-Michael Kamm; Stefan Hirscher; Klaus Lowack; Wolf-Dieter Domke; Markus Bender; Stefan Wurm; Adam R. Pawloski; Bruno La Fontaine; Christian Holfeld; Uwe Dersch; Florian Letzkus; Joerg Butschke

Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.


24th Annual BACUS Symposium on Photomask Technology | 2004

Comparative study of mask architectures for EUV lithography

Adam R. Pawloski; Bruno La Fontaine; Harry J. Levinson; Stefan Hirscher; Siegfried Schwarzl; Klaus Lowack; Frank-Michael Kamm; Markus Bender; Wolf-Dieter Domke; Christian Holfeld; Uwe Dersch; Patrick P. Naulleau; Florian Letzkus; Joerg Butschke

Three different architectures were compared as candidates for EUV lithography masks. Binary masks were fabricated using two different stacks of absorber materials and using a selective etching process to directly pattern the multilayer of the mask blank. To compare the effects of mask architecture on resist patterning, all three masks were used to print features into photoresist on the EUV micro-exposure tool (MET) at Lawrence Berkeley National Laboratory. Process windows, depth of focus, mask contrast at EUV, and horizontal and vertical line width bias were use as metrics to compare mask architecture. From printing experiments, a mask architecture using a tantalum nitride absorber stack exhibited the greatest depth of focus and process window of the three masks. Experimental results obtained using prototype masks are discussed in relation to simulations. After accounting for CD biasing on the masks, similar performance was found for all three mask architectures.


Journal of Photopolymer Science and Technology | 1999

Thin film imaging with CARL ©photoresist at the optical resolution limit

Stefan Hien; Günther Czech; Wolf-Dieter Domke; Ernst Richter; Michael Sebald; Iris Stiebert


Archive | 2007

Lithography Apparatus, Masks for Non-Telecentric Exposure and Methods of Manufacturing Integrated Circuits

Sven Trogisch; Christoph Hohle; Wolf-Dieter Domke; Günther Ruhl


Archive | 2005

Method for smoothing areas in structures by utilizing the surface tension

Wolf-Dieter Domke; Siegfried Schwarzl


Archive | 2003

Irradiation device for testing objects coated with light-sensitive paint

Wolf-Dieter Domke; Larissa Juschkin; Karl Kragler; Rainer Lebert; Manfred Meisen


Archive | 2004

Resistsystem, Verwendung eines Resistsystems und Lithographieverfahren zur Herstellung von Halbleiterbauelementen

Oliver Kirch; Wolf-Dieter Domke; Karl Kragler; Klaus Lowack


Archive | 2004

Resist system, useful for lithographic procedures, e.g. for preparing semiconductor components, comprises polymer/copolymer with acid labile group and cyclic polysiloxane containing group and/or cross linked polysiloxane containing group

Wolf-Dieter Domke; Oliver Kirch; Klaus Lowack

Collaboration


Dive into the Wolf-Dieter Domke's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge