Wolfgang Demmerle
Synopsys
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Wolfgang Demmerle.
Journal of Micro-nanolithography Mems and Moems | 2013
Hajime Aoyama; Yasushi Mizuno; Noriyuki Hirayanagi; Naonori Kita; Ryota Matsui; Hirohiko Izumi; Keiichi Tajima; Joachim Siebert; Wolfgang Demmerle; Tomoyuki Matsuyama
Abstract. Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high-volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on a Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask three-dimensional effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore, we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation and with estimated value of scanner errors.
Journal of Micro-nanolithography Mems and Moems | 2014
Weimin Gao; Ardavan Niroomand; Gian Francesco Lorusso; Robert Boone; Kevin Lucas; Wolfgang Demmerle
Abstract. Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1× nm half pitch node and beyond, many technological burdens have to be overcome. The “field edge effect” in EUVL is one of them. The image border region of an EUV mask, also known as the “black border” (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on critical dimension (CD) uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multilayer at the image border region of the EUV mask. We present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional “flare level” at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the IMEC’s NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation, we can also determine the OoB effect rigorously using the methodology of an “effective mask blank.” The study demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.
Proceedings of SPIE, the International Society for Optical Engineering | 2009
Thomas Schmoeller; Jacek K. Tyminski; John Lewellen; Wolfgang Demmerle
EUV exposure tools are the leading contenders for patterning critical layers at the 22nm technology node. Operating at the wavelength of 13.5nm, with modest projection optics numerical aperture (NA), EUV projectors allow less stringent image formation conditions. On the other hand, the imaging performance requirements will place high demands on the mechanical and optical properties of these imaging systems. A key characteristic of EUV projection optics is the application of a reflective mask, which consists of a reflective multilayer stack on which the IC layout is represented by the reflectivity discontinuities1. Several mask concepts can provide such characteristics, such as thick absorbers on top of a reflective multi-layer stack, masks with embedded absorbers, or absorber-free masks with patterns etched in a reflective multilayer. This report analyzes imaging performance and tradeoffs of such new mask designs. Various mask types and geometries are evaluated through imaging simulations. The applied mask models take into account the topographic nature of the mask structures, as well as the fundamental, vectorial characteristics of the EUV imaging process. Resulting EUV images are compared in terms of their process stability as well as their sensitivities to the EUV-specific effects, such as pattern shift and image tilt, driven by the reflective design of the exposure system and the mask topography. The simulations of images formed in EUV exposure tools are analyzed from the point of view of the EUV mask users. The fundamental requirements of EUV mask technologies are discussed. These investigations spotlight the tradeoffs of each mask concept and could serve as guidelines for EUV mask engineering.
Proceedings of SPIE | 2015
Weimin Gao; Ivan Ciofi; Yves Saad; Philippe Matagne; Michael Bachmann; Mohamed Oulmane; Werner Gillijns; Kevin Lucas; Wolfgang Demmerle; Thomas Schmoeller
In 7mn node (N7), the logic design requires the critical poly pitch (CPP) of 42-45nm and metal 1 (M1) pitch of 28- 32nm. Such high pattern density pushes the 193 immersion lithography solution toward its limit and also brings extremely complex patterning scenarios. The N7 M1 layer may require a self-aligned quadruple patterning (SAQP) with triple litho-etch (LE3) block process. Therefore, the whole patterning process flow requires multiple exposure+etch+deposition processes and each step introduces a particular impact on the pattern profiles and the topography. In this study, we have successfully integrated a simulation tool that enables emulation of the whole patterning flow with realistic process-dependent 3D profile and topology. We use this tool to study the patterning process variations of N7 M1 layer including the overlay control, the critical dimension uniformity (CDU) budget and the lithographic process window (PW). The resulting 3D pattern structure can be used to optimize the process flow, verify design rules, extract parasitics, and most importantly, simulate the electric field and identify hot spots for dielectric reliability. As an example application, we will report extractions of maximum electric field at M1 tipto- tip which is one of the most critical patterning locations and we will demonstrate the potential of this approach for investigating the impact of process variations on dielectric reliability. We will also present simulations of an alternative M1 patterning flow, with a single exposure block using extreme ultraviolet lithography (EUVL) and analyze its advantages compared to the LE3 block approach.
Proceedings of SPIE | 2011
Weimin Gao; Ulrich Klostermann; Thomas Mülders; Thomas Schmoeller; Wolfgang Demmerle; Peter De Bisschop; Joost Bekaert
A negative tone development (NTD) process benefits from the superior imaging performance obtained with light field (LF) masks to print metal and contact layers, resulting in improved process window. In this paper, we introduce an inverse Mack development model to simulate the NTD process and validate its process advantage. Based on this model, a NTD resist model calibration has been carried out and the model results are presented. Various NTD application cases have been studied and the prediction capabilities of simulations are demonstrated: 1) LF+NTD process helps to achieve a broader pitch range and smaller feature size compared to the traditional dark field (DF) with positive tone development (PTD) process. NTD brings a significant improvement in exposure latitude (EL) and MEEF for both line-and-space (L/S) and contact hole (CH) patterns through pitch. 2) The NTD process has been explored for double exposure lithography with extreme off-axis illumination using L/S patterns with horizontal and vertical orientation, respectively, which creates dense contact hole arrays down to a 80 nm pitch. 3) Simulation can also be used to explore new NTD process variances. We have demonstrated the simulations of the NTD model in applications such as printing specific CH or Metal patterns, a dual-tone development process and a combination of source mask optimization (SMO) and NTD to print SRAM patterns at smaller sizes.
Proceedings of SPIE, the International Society for Optical Engineering | 2009
Rainer Zimmermann; Martin Schulz; Wolfgang Hoppe; Hans-Juergen Stock; Wolfgang Demmerle; Alex Zepka; Artak Isoyan; Lars Bomholt; Serdar Manakli; Laurent Pain
We demonstrate a flow for e-beam proximity correction (EBPC) to e-beam direct write (EBDW) wafer manufacturing processes, demonstrating a solution that covers all steps from the generation of a test pattern for (experimental or virtual) measurement data creation, over e-beam model fitting, proximity effect correction (PEC), and verification of the results. We base our approach on a predictive, physical e-beam simulation tool, with the possibility to complement this with experimental data, and the goal of preparing the EBPC methods for the advent of high-volume EBDW tools. As an example, we apply and compare dose correction and geometric correction for low and high electron energies on 1D and 2D test patterns. In particular, we show some results of model-based geometric correction as it is typical for the optical case, but enhanced for the particularities of e-beam technology. The results are used to discuss PEC strategies, with respect to short and long range effects.
Journal of Micro-nanolithography Mems and Moems | 2016
Weimin Gao; Ivan Ciofi; Yves Saad; Philippe Matagne; Michael Bachmann; W. Gillijns; Kevin Lucas; Wolfgang Demmerle; Thomas Schmoeller
Abstract. In a 7 nm node (N7), the logic design requires a critical poly pitch of 42 to 45 nm and a metal 1 (M1) pitch of 28 to 32 nm. Such high-pattern density pushes the 193 immersion lithography solution toward its limit and also brings extremely complex patterning scenarios. The N7 M1 layer may require a self-aligned quadruple patterning (SAQP) with a triple litho-etch (LE3) block process. Therefore, the whole patterning process flow requires multiple exposure+etch+deposition processes and each step introduces a particular impact on the pattern profiles and the topography. In this study, we have successfully integrated a simulation tool that enables emulation of the whole patterning flow with realistic process-dependent three-dimensional (3-D) profile and topology. We use this tool to study the patterning process variations of the N7 M1 layer including the overlay control, the critical dimension uniformity budget, and the lithographic process window (PW). The resulting 3-D pattern structure can be used to optimize the process flow, verify design rules, extract parasitics, and most importantly, simulate the electric field, and identify hot spots for dielectric reliability. As an example application, the maximum electric field at M1 tip-to-tip, which is one of the most critical patterning locations, has been simulated and extracted. The approach helps to investigate the impact of process variations on dielectric reliability. We have also assessed the alternative M1 patterning flow with a single exposure block using extreme ultraviolet lithography (EUVL) and analyzed its advantages compared to the LE3 block approach.
Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015
Mihir Upadhyaya; Adarsh Basavalingappa; Henry Herbol; G. Denbeaux; Vibhu Jindal; Jenah Harris-Jones; Il-Yong Jang; Kenneth A. Goldberg; Iacopo Mochi; Sajan Marokkey; Wolfgang Demmerle; Thomas V. Pistor
The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of EUV mask defects. In this work, two native mask blank defects were characterized using atomic force microscopy (AFM) and cross-section transmission electron microscopy (TEM), and the defect printability of the characterized native mask defects was evaluated using simulations implementing the finite-difference time-domain and the waveguide algorithms. The simulation results were compared with through-focus aerial images obtained at the SEMATECH Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory. The authors found agreement between the through-focus simulation results and the AIT results. To model the Mo/Si multilayer growth over the native defects, which served ...
Photomask Technology 2013 | 2013
Weimin Gao; Ardavan Niroomand; Gian F. Lorusso; Robert Boone; Kevin Lucas; Wolfgang Demmerle
Although extreme ultraviolet lithography (EUVL) remains a promising candidate for semiconductor device manufacturing of the 1x nm half pitch node and beyond, many technological burdens have to be overcome. The “field edge effect” in EUVL is one of them. The image border region of an EUV mask,also known as the “black border” (BB), reflects a few percent of the incident EUV light, resulting in a leakage of light into neighboring exposure fields, especially at the corner of the field where three adjacent exposures take place. This effect significantly impacts on CD uniformity (CDU) across the exposure field. To avoid this phenomenon, a light-shielding border is introduced by etching away the entire absorber and multi-layer (ML)at the image border region of the EUV mask. In this paper, we present a method of modeling the field edge effect (also called the BB effect) by using rigorous lithography simulation with a calibrated resist model. An additional “flare level” at the field edge is introduced on top of the exposure tool flare map to account for the BB effect. The parameters in this model include the reflectivity and the width of the BB, which are mainly determining the leakage of EUV light and its influence range, respectively. Another parameter is the transition width which represents the half shadow effect of the reticle masking blades. By setting the corresponding parameters, the simulation results match well the experimental results obtained at the imec’s NXE:3100 EUV exposure tool. Moreover, these results indicate that the out-of-band (OoB) radiation also contributes to the CDU. Using simulation we can also determine the OoB effect rigorouslyusing the methodology of an “effective mask blank”. The study in this paper demonstrates that the impact of BB and OoB effects on CDU can be well predicted by simulations.
Advances in Patterning Materials and Processes XXXV | 2018
Balint Meliorisz; Ulrich Welling; Hans-Jürgen Stock; Sajan Marokkey; Thomas Mülders; Chi-Chun Liu; Cheng Chi; Jing Guo; Clifford Osborn; Jaime D. Morillo; Wolfgang Demmerle; Jing Sha; Kafai Lai; Derren Dunn
This paper presents a design and technology co-optimization (DTCO) study of metal cut formation in the sub-20-nmregime. We propose to form the cuts by applying grapho-epitaxial directed self-assembly. The construction of a DTCO flow is explained and results of a process variation analysis are presented. We examined two different DSA models and evaluated their performance and speed tradeoff. The applicability of each model type in DTCO is discussed and categorized.