Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yu-n Lu is active.

Publication


Featured researches published by Yu-n Lu.


international electron devices meeting | 2009

3D 65nm CMOS with 320°C microwave dopant activation

Yao-Jen Lee; Yu-Lun Lu; Fu-Kuo Hsueh; Kuo-Chin Huang; Chia-Chen Wan; Tz-Yen Cheng; Ming-Hung Han; Jeff M. Kowalski; Jeff E. Kowalski; Dawei Heh; Hsi-Ta Chuang; Yiming Li; Tien-Sheng Chao; Ching-Yi Wu; Fu-Liang Yang

For the first time, CMOS TFTs of 65nm channel length have been demonstrated by using a novel microwave dopant activation technique. A low temperature microwave anneal is demonstrated and discussed in this study. We have successfully activated the poly-Si gate electrode and source/drain junctions, BF2 for p-MOS TFTs and P31 for n-MOS TFTs at a low temperature of 320°C without diffusion. The technology is promising for high performance and low cost upper layer nanometer-scale transistors as required by low temperature 3D-ICs fabrication.


IEEE Transactions on Electron Devices | 2014

Low-Temperature Microwave Annealing Processes for Future IC Fabrication—A Review

Yao-Jen Lee; Ta-Chun Cho; Shang-Shiun Chuang; Fu-Kuo Hsueh; Yu-Lun Lu; Po-Jung Sung; Hsiu-Chih Chen; Michael I. Current; Tseung-Yuen Tseng; Tien-Sheng Chao; Chenming Hu; Fu-Liang Yang

Microwave annealing (MWA) and rapid thermal annealing (RTA) of dopants in implanted Si are compared in their abilities to produce very shallow and highly activated junctions. First, arsenic (As), phosphorus (P), and BF2 implants in Si substrate were annealed by MWA at temperatures below 550 °C. Next, enhancing the substitutional carbon concentration ([C]sub) by cluster carbon implantation in (100) Si substrates with MWA or RTA techniques was investigated. Annealing temperatures and time effects were studied. Different formation mechanisms of SiCx layer were observed. In addition, substrate temperature is an important factor for dopant activation during MWA and in situ doped a-Si on oxide/Si substrate or glass were compared to elucidate the substrate temperature effect. After the discussion of dopant activation in Si substrates, low temperature formation of ultrathin NiGe layer is presented. Ultrathin NiGe films with low sheet resistance have been demonstrated with a novel two-step MWA process. In the two-step MWA process, the first step anneals the sample with low power MWA, and the second step applies higher power MWA for reducing sheet resistance. During fixed-frequency microwave heating, standing wave patterns may be present in the MWA chamber resulting in nodes and antinodes and thermal variations over the process wafer. Therefore, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency MWA were investigated.


IEEE Electron Device Letters | 2010

Nanoscale p-MOS Thin-Film Transistor With TiN Gate Electrode Fabricated by Low-Temperature Microwave Dopant Activation

Yu-Lun Lu; Fu-Kuo Hsueh; Kuo-Ching Huang; Tz-Yen Cheng; Jeff M. Kowalski; Jeff E. Kowalski; Yao-Jen Lee; Tien-Sheng Chao; Ching-Yi Wu

In this letter, nanoscale p-MOS TFTs with a TiN gate electrode were realized using a novel microwave (MW) dopant-activation technique. We compared both low-temperature MW annealing and rapid thermal annealing. We successfully activated the source/drain region and suppressed the short-channel effects using low-temperature MW annealing. This technique is promising from the viewpoint of realizing high-performance and low-cost upper layer nanoscale transistors required for low-temperature 3-D integrated circuit fabrication.


IEEE Electron Device Letters | 2009

Physical Mechanism of High-Programming-Efficiency Dynamic-Threshold Source-Side Injection in Wrapped-Select-Gate SONOS for nor-Type Flash Memory

Kuan-Ti Wang; Tien-Sheng Chao; Tsung-Yu Chiang; Woei-Cherng Wu; Po-Yi Kuo; Yi-Hong Wu; Yu-Lun Lu; Chia-Chun Liao; Wen-Luh Yang; Chien-Hsing Lee; Tsung-Min Hsieh; Jhyy-Cheng Liou; Shen-De Wang; Tzu-Ping Chen; Chien-Hung Chen; Chih-Hung Lin; Hwi-Huang Chen

For the first time, a programming mechanism for conventional source-side injection (SSI) (normal mode), substrate-bias enhanced SSI (body mode), and dynamic-threshold SSI (DTSSI) (DT mode) of a wrapped-select-gate SONOS memory is developed with 2-D Poisson equation and hot-electron simulation and programming characteristic measurement for NOR flash memory. Compared with traditional SSI, DTSSI mechanisms are determined in terms of lateral acceleration electric field and programming current (IPGM) in the neutral gap region, resulting in high programming efficiency. Furthermore, the lateral electric field intersects the vertical electric field, indicating that the main charge injection point is from the end edge of the gap region close to the word gate.


international workshop on junction technology | 2013

Microwave and RTA annealing of phos-doped, strained Si(100) and (110) implanted with molecular Carbon ions

Michael I. Current; Yao-Jen Lee; Yu-Lun Lu; Ta-Chun Cho; Tien-Sheng Chao; Hiroshi Onoda; Karuppanan Sekar; Nobuhiro Tokoro

Effects of microwave (MWA) at ≈500 C and rapid-thermal annealing at 600 to 1000 C are compared for phosphorous-doped, strained Si(100) and (110) implanted with molecular Carbon (C7H7) ions. Substitutional Carbon levels at 1.44% were achieved for P-doped, C7 implanted strained nMOS S/D type junctions with MWA.


IEEE Electron Device Letters | 2012

Novel 2-Bit/Cell Wrapped-Select-Gate SONOS TFT Memory Using Source-Side Injection for NOR-Type Flash Array

Kuan-Ti Wang; Fang-Chang Hsueh; Yu-Lun Lu; Tsung-Yu Chiang; Yi-Hong Wu; Chia-Chun Liao; Li-Chen Yen; Tien-Sheng Chao

This letter is the first to successfully demonstrate the 2-bit/cell wrapped-selected-gate (WSG) SONOS thin-film transistor (TFT) memory using source-side injection (SSI). Because of the higher programming efficiency of SSI, a memory window of approximately 3 V can be easily achieved in 10 μs and 30 ms for the program and erase modes, respectively. In addition, we performed an excellent 2-bit/cell distinguish margin for 3-V memory window in WSG-SONOS TFT memory. The optimal reliability of the endurance and data retention tests can be executed by adjusting the applied voltage appropriately.


Electrochemical and Solid State Letters | 2011

High Tensile Stress with Minimal Dopant Diffusion by Low Temperature Microwave Anneal

Yao-Jen Lee; Yu-Lun Lu; Zheng-Chang Mu; Fu-Kuo Hsueh; Tien-Sheng Chao; Ching-Yi Wu


ECS Journal of Solid State Science and Technology | 2013

Microwave Annealing of Phosphorus and Cluster Carbon Implanted (100) and (110) Si

Ta-Chun Cho; Yu-Lun Lu; Jie-Yi Yao; Yao-Jen Lee; Karuppanan Sekar; Nobuhiro Tokoro; Hiroshi Onoda; Wade Krull; Michael I. Current; Tien-Sheng Chao


ECS Solid State Letters | 2012

Simultaneous Activation and Crystallization by Low-Temperature Microwave Annealing for Improved Quality of Amorphous Silicon Thin-Film Transistors

Yu-Lun Lu; Yao-Jen Lee; Tien-Sheng Chao


The Japan Society of Applied Physics | 2012

Double-Gated Junctionless Vertical Channel Poly-Si Thin-Film Transistors

Yi-Hsuan Chen; Je-Wei Lin; Li-Chen Yen; R. H. Kuo; Yu-Lun Lu; Y. H. Wu; Po-Yi Kuo; T. S. Chao

Collaboration


Dive into the Yu-n Lu's collaboration.

Top Co-Authors

Avatar

Tien-Sheng Chao

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Yao-Jen Lee

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Fu-Kuo Hsueh

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Ching-Yi Wu

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ta-Chun Cho

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Tz-Yen Cheng

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Chia-Chen Wan

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Chia-Chun Liao

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Kuan-Ti Wang

National Chiao Tung University

View shared research outputs
Researchain Logo
Decentralizing Knowledge