Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yutaka Kodera is active.

Publication


Featured researches published by Yutaka Kodera.


29th European Mask and Lithography Conference | 2013

Experimental approach to EUV imaging enhancement by mask absorber height optimization

Natalia Davydova; Robert de Kruif; Haiko Rolff; Brid Connolly; Eelco van Setten; Ad Lammers; Dorothe Oorschot; Norihito Fukugami; Yutaka Kodera

EUV lithography performance is improved significantly by optimizing and fine-tuning of the EUV mask. The EUV mask is an active element of the scanner optical system influencing main lithographic figure of merits such as image contrast, critical dimension uniformity (CDU), focus and overlay. The mask stack consists of Mo/Si multilayer acting as a bright field and a patterned absorber stack. In this work we will concentrate on investigation of EUV absorber. Absorber topography that is pronounced compared to the imaging wavelength of 13.5 nm, will give rise to various mask 3d effects such as shadowing or dependence of CD on feature orientation, best focus shift of different resolution structures, etc. Light interference in the absorber layer results in swinging behavior of various lithography metrics as function of the absorber height. Optimization of the mask absorber allows mitigating mask 3d effects and improving imaging performance. In particular, reduction of the absorber height mitigates the shadowing effect and relaxes requirements on Optical Proximity Correction (OPC), but can result in smaller Process Window due to lower imaging contrast and larger best focus shifts. In this work we will show results of an experimental approach to absorber height optimization. A special mask with 27 different absorber heights in the range 40-70 nm is manufactured by Toppan Photomasks. EUV reflectivity spectra are measured for the different absorber heights and an experimental swing curve is constructed. For each absorber height various resolution features are present on the mask. Lines of 27 nm and 22 nm are imaged on the wafer using the ASML EUV scanner NXE:3300B with an NA of 0.33. The experimental CD swing curve is constructed as well as HV change as a function of absorber height. The impact of the absorber height on Exposure Latitude (EL) and Dose to Size (D2S) is investigated. EL improves with increasing absorber height in some cases, however there is no clear EL gain for a 70 nm absorber compared to for example 52 nm absorber. D2S does show a clear trend through absorber height. In particular, D2S can be reduced by absorber height reduction: e.g. for 52 nm absorber D2S is 5% or 1 mJ/cm2 smaller compared to 70 nm. The experimental results are used for calibration and verification of rigorous mask 3d simulations. This knowledge is crucial for accurate OPC of production masks and allows for accurate litho simulations of EUV user cases as a basis for lithography roadmaps towards High Volume Manufacturing and High NA EUV.


Photomask and Next-Generation Lithography Mask Technology XIX | 2012

Black border with etched multilayer on EUV mask

Norihito Fukugami; Kazuaki Matsui; Genta Watanabe; Takeshi Isogawa; Shinpei Kondo; Yutaka Kodera; Yo Sakata; Shinji Akima; Jun Kotani; Hiroaki Morimoto; Tsuyoshi Tanaka

EUV lithography is the most promising candidate for semiconductor device manufacturing of 1x nm half pitch and beyond. For the practical use, EUV mask with a thin absorber could be adopted because of less shadowing effect. EUV reflectivity from the thin absorber is about 1~3%. It would cause CD change on wafer especially at the exposure field edge due to the leakage of the EUV light from neighboring exposure shots.1 To avoid this phenomenon, light shield black border is needed at the edge of pattern area on mask. Stacked absorber type and ML-etched type of light shield black border have been proposed in the past.2 The most important things for these black borders are that there is no reflection of EUV light and no defect which affects pattern CD on wafer. ML-etched black border is considered to be applied for early practical use from a viewpoint of manufacturability. Because CD degradation and defect increase might happen due to 2nd litho and etch process on its main pattern area in manufacturing process of stacked absorber type. In this paper, we will show several evaluation results regarding ML-etched black border we have developed. It has a good light shield performance for EUV and low DUV light reflection. Defect inspection in black border area can be performed successfully by three kinds of inspection tools. As a result, most of the defects seemed not to be printable to wafer. We also evaluated CD change, flatness change linked to mask IP shift and particle contamination on main pattern area. What it comes down to is that there is no show-stopper for ML-etched BB process for now.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Impact of the OMOG Substrate on 32 nm Mask OPC Inspectability, Defect Sensitivity and Mask Design Rule Restrictions

Karen D. Badger; Yutaka Kodera; Emily Gallagher; Mark Lawliss

Aggressive optical proximity correction (OPC) has enabled the extension of advanced lithographic technologies to the 32nm node. The associated sub-resolution features, feature-feature spacings, and fragmented edges in the design data are difficult to reproduce on masks and even more difficult to inspect. The patterns themselves must be differentiated from defects for inspectability, while the ability to recognize small deviations must be maintained for sensitivity. This must be done without restricting necessary OPC design features. The semi-transparent nature of industry-standard 6% attenuated phase shift substrates introduces a host of problems relative to inspectable dimensions and subsequent defect sensitivities. The result is a reduction in inspectability, defect sensitivity and the inability to inspect smaller critical dimensions and OPCed features. The introduction of a binary-type attenuated phase shift film improves the ability to inspect smaller critical dimensions and smaller OPC features without loss of inspectability and sensitivity extending the capability of existing inspection hardware for 32nm ground rule masks. This paper introduces inspection characterization results for this new film, opaque MoSi on glass (referred to as OMOG in this paper) and draws a correlation between the films transmission qualities and inspectability of 32nm OPC features. The paper will further show a correlation between OPC feature size and defect sensitivity for 32nm ground rule designs. Aerial Image (AIMS) analysis will be used to identify areas where the enhanced inspection capability can be leveraged to avoid unnecessary restrictions on OPC.


30th European Mask and Lithography Conference | 2014

Black border, mask 3D effects: covering challenges of EUV mask architecture for 22nm node and beyond

Natalia Davydova; Eelco van Setten; Robert de Kruif; Brid Connolly; Norihito Fukugami; Yutaka Kodera; Hiroaki Morimoto; Yo Sakata; Jun Kotani; Shinpei Kondo; Tomohiro Imoto; Haiko Rolff; Albrecht Ullrich; Ramasubramanian Kottumakulal Jaganatharaja; Ad Lammers; Dorothe Oorschot; Cheuk-Wah Man; Guido Schiffelers; Joep van Dijk

Photomask is at the heart of a lithographic scanner’s optical path. It cannot be left non-optimized from the imaging point of view. In this work we provide new insights on two critical aspects of EUV mask architecture: optimization of absorber for 16 nm half-pitch imaging and a systematic approach to black border EUV and DUV reflectance specifications. Good 16 nm imaging is demonstrated on ASML NXE:3300 EUV scanner. Currently a relatively high dose resist is used for imaging and the dose reduction is desired. Optimization (reduction) of absorber height and mask CD bias can allow for up to 30% dose reduction without essential contrast loss. Disadvantages of absorber height reduction are ~7 nm increase of best focus range through pitch and tighter absorber height mean to target and uniformity requirements. A disadvantage of a smaller reticle CD (down to 14 nm 1x) is manufacturing process uniformity over the reticle. A systematic approach of black border reflections impact on imaging is established. The image border is a pattern free dark area surrounding the image field and preventing exposure of the image field neighborhood on wafer. Currently accepted design of the black border on EUV reticle is an image border where the absorber and multilayer stack are etched down to the substrate and EUV reflectance is reduced to <0.05%. DUV reflectance of such a black border is about 5%. It is shown that a tighter DUV reflectance specification <1.5% is required driven by the impact of DUV reflections from the black border on imaging. NXE:3300 and NXE:3100 experimental imaging results are shown. The need of low DUV wavelength reflectance metrology (in the range 100-300 nm) is demonstrated using an estimated NXE scanner out-of-band DUV spectrum. Promising results of low DUV reflectance of the black border are shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Wafer Plane Inspection Evaluated for Photomask Production

Emily Gallagher; Karen D. Badger; Mark Lawliss; Yutaka Kodera; Jaione Tirapu Azpiroz; Song Pang; Hongqin Zhang; Eugenia Eugenieva; Chris Clifford; Arosha Goonesekera; Yibin Tian

Wafer Plane Inspection (WPI) is a novel approach to inspection, developed to enable high inspectability on fragmented mask features at the optimal defect sensitivity. It builds on well-established high resolution inspection capabilities to complement existing manufacturing methods. The production of defect-free photomasks is practical today only because of informed decisions on the impact of defects identified. The defect size, location and its measured printing impact can dictate that a mask is perfectly good for lithographic purposes. This inspection - verification - repair loop is timeconsuming and is predicated on the fact that detectable photomask defects do not always resolve or matter on wafer. This paper will introduce and evaluate an alternative approach that moves the mask inspection to the wafer plane. WPI uses a high NA inspection of the mask to construct a physical mask model. This mask model is used to create the mask image in the wafer plane. Finally, a threshold model is applied to enhance sensitivity to printing defects. WPI essentially eliminates the non-printing inspection stops and relaxes some of the pattern restrictions currently placed on incoming photomask designs. This paper outlines the WPI technology and explores its application to patterns and substrates representative of 32nm designs. The implications of deploying Wafer Plane Inspection will be discussed.


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

Achievements and challenges of EUV mask imaging

Natalia Davydova; Eelco van Setten; Robert de Kruif; Brid Connolly; Norihito Fukugami; Yutaka Kodera; Hiroaki Morimoto; Yo Sakata; Jun Kotani; Shinpei Kondo; Tomohiro Imoto; Haiko Rolff; Albrecht Ullrich; Ad Lammers; Guido Schiffelers; Joep van Dijk

The impact of various mask parameters on CDU combined in a total mask budget is presented, for 22 nm lines, for reticles used for NXE:3300 qualification. Apart from the standard mask CD measurements, actinic spectrometry of multilayer is used to qualify reflectance uniformity over the image field; advanced 3D metrology is applied for absorber profile characterization including absorber height and side wall angle. The predicted mask impact on CDU is verified using actual exposure data collected on multiple NXE:3300 scanners. Mask 3D effects are addressed, manifesting themselves in best focus shifts for different structures exposed with off-axis illumination. Experimental NXE:3300 results for 16 nm dense lines and 20 nm (semi-)isolated spaces are shown: best focus range reaches 24 nm. A mitigation strategy by absorber height optimization is proposed based on experimental results of a special mask with varying absorber heights. Further development of a black image border for EUV mask is considered. The image border is a pattern free area surrounding image field preventing exposure the image field neighborhood on wafer. Normal EUV absorber is not suitable for this purpose as it has 1-3% EUV reflectance. A current solution is etching of ML down to substrate reducing EUV reflectance to <0.05%. A next step in the development of the black border is the reduction of DUV Out-of-Band reflectance (<1.5%) in order to cope with DUV light present in EUV scanners. Promising results achieved in this direction are shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

SMO Photomask Inspection in the Lithographic Plane

Emily Gallagher; Karen D. Badger; Yutaka Kodera; Jaione Tirapu Azpiroz; Ioana Graur; Scott Halle; Kafai Lai; Gregory McIntyre; Mark J. Wihl; Shaoyun Chen; Ge Cong; Bo Mu; Zhian Guo; Aditya Dayal

Source Mask Optimization (SMO) describes the co-optimization of the illumination source and mask pattern in the frequency domain. While some restrictions for manufacturable sources and masks are included in the process, the resulting photomasks do not resemble the initial designs. Some common features of SMO masks are that the line edges are heavily fragmented, the minimum design features are small and there is no one-to-one correspondence between design and mask features. When it is not possible to link a single mask feature directly to its resist counterpart, traditional concepts of mask defects no longer apply and photomask inspection emerges as a significant challenge. Aerial Plane Inspection (API) is a lithographic inspection mode that moves the detection of defects to the lithographic plane. They can be deployed to study the lithographic impact of SMO mask defects. This paper briefly reviews SMO and the lithography inspection technologies and explores their applicability to 22nm designs by presenting SMO mask inspection results. These results are compared to simulated wafer print expectations.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Impact of transmitted and reflected light inspection on mask inspectability, defect sensitivity, and mask design rule restrictions

Yutaka Kodera; Karen D. Badger; Emily Gallagher; Shinji Akima; Mark Lawliss; Hidehiro Ikeda; Ian Stobert; Yasutaka Kikuchi

The application of aggressive optical proximity correction (OPC) has permitted the extension of advanced lithographic technologies. OPC is also the source of challenges for the mask-maker. Sub-resolution features, small shapes between features and highly-fragmented edges in the design data are difficult to reproduce on masks and even more difficult to inspect. Since the inspection step examines every image on the mask, it is required to guarantee the total plate quality. The patterns themselves must be differentiated from defects, and the ability to recognize small deviations must be maintained. In other words, high inspectability at high defect sensitivities must be achieved simultaneously. This must be done without restricting necessary OPC designs features. Historically, transmitted light has been deployed for mask pattern inspection. Recently, the inspection challenge has been both enhanced and complicated by the introduction of reflected light pattern inspection. Reflected light reverses the image contrast of features, creating a new set of design limits. This paper introduces these new reflected inspection limits. Multiple platform capabilities will be incorporated into the study of reflected and transmitted inspection capability. The benefits and challenges of integrating a combination of transmitted and reflected light pattern inspection into manufacturing will be explored. Aerial Image Measurement System (AIMS) analysis will be used to help understand how to leverage the enhanced inspection capability while avoiding unnecessary restrictions on OPC.


Photomask and Next-Generation Lithography Mask Technology XIX | 2012

Novel programmed defect mask blanks for ML defect understanding and characterization

Kazuaki Matsui; Takagi Noriaki; Isogawa Takeshi; Yutaka Kodera; Sakata Yo; Akima Shinji

EUV blank inspection is the key technology for EUV mask fabrication. To assess blank inspection tools, it is important to obtain appropriate test blanks with properly characterized defect types. In this study, new programmed defect blank was fabricated with conventional programmed defect fabrication and several new methods for natural-like programmed defects. And defect characterization work has been conducted to verify the difference of conventional programmed defects and natural-like programmed defects, and confirmed wide range of defect sizes from minimum below 1nm-height × 18nm-width to micron order defects were successfully fabricated. Furthermore, the blank was inspected by Actinic Blank Inspection (ABI) tool and evaluated the effectiveness of the new defect fabrication methods. And it was confirmed that the new programmed defect showed similar characteristics as natural defects.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development and Characterization of a Thinner Binary Mask Absorber for 22 nm node and Beyond

Tom Faure; Karen D. Badger; Louis Kindt; Yutaka Kodera; Toru Komizo; Shinpei Kondo; Takashi Mizoguchi; Satoru Nemoto; Kazunori Seki; Tasuku Senna; Richard Wistrom; Amy E. Zweber; Kazuhiro Nishikawa; Yukio Inazuki; Hiroki Yoshikawa

The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks. The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging performance of critical mask patterns by degrading the common lithography process window and by magnifying the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate. For expediency, the thin absorber development effort focused on using existing absorber materials and deposition methods. It was found that significant changes in film composition and structure were needed to obtain a substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous studies to assess the mask making performance of the thinner absorber material were required and will be described. During these studies several significant mask making advantages of the thin absorber were discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to corner gap. Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use for fabricating masks for the 22 nm node and beyond.

Collaboration


Dive into the Yutaka Kodera's collaboration.

Researchain Logo
Decentralizing Knowledge