Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eric Poortinga is active.

Publication


Featured researches published by Eric Poortinga.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Improved prediction of across chip linewidth variation (ACLV) with photomask aerial image CD metrology

Eric Poortinga; Axel Zibold; Will Conley; Lloyd Litt; Bryan S. Kasprowicz; Michael Cangemi

Critical dimension (CD) metrology is an important process step within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately tolerances of device electrical performance drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process and photomask quality. At the 65nm node the ITRS roadmap calls for sub-3nm photomask CD uniformity to support a sub-3nm wafer level CD uniformity. Meeting these targets has proven to be a challenge. What can be inferred from these specifications is that photomask level CD performance is the direct contributor to wafer level CD performance. With respect to phase shift masks, criteria such as phase and transmission control are also tightened with each technology node. A comprehensive study is presented supporting the use of photomask aerial image emulation CD metrology to predict wafer level Across Chip Linewidth Variation (ACLV). Using the aerial image can provide more accurate wafer level prediction because it inherently includes all contributors to image formation such as the physical CD, phase, transmission, sidewall angle, and other material properties. Aerial images from different photomask types were captured to provide across chip CD values. Aerial image measurements were completed using an AIMSTMfab193i with its through-pellicle data acquisition capability including the Global CDU MapTM software option for AIMSTM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CD data (after final clean and pellicle mounting) before the photomask ships or for re-qualification at the wafer fab. Data was also collected on these photomasks using a conventional CD-SEM metrology system with the pellicles removed. A comparison was then made to wafer prints demonstrating the benefit of using aerial image CD metrology.


Metrology, inspection, and process control for microlithography. Conference | 2006

Printability study with polarisation capable AIMS™ fab 193i to study polarisation effects

Axel Zibold; Ulrich Stroessner; Andrew Ridley; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch; Eric Poortinga; Rainer Schmid; Joost Bekaert; Vicky Philipsen; Lieve Van Look; Peter Leunissen

Immersion lithography offers the semiconductor industry an opportunity to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The transition to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and beyond. Feature sizes on the photomask become comparable to, or even smaller than the wavelength and hence act more like wire grid polarisers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide, with the novel AIMSTM fab 193i offering a maximum NA of 0.93 and is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments include numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to unpolarised illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. A new scanner mode will be presented for the investigation of contrast loss due to polarisation effects from imaging.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Grant Davis; Sun Young Choi; Eui Hee Jung; Arne Seyfarth; Hans Van Doornmalen; Eric Poortinga

The photomask is a critical element in the lithographic image transfer process from the drawn layout to the final structures on the wafer. The non-linearity of the imaging process and the related MEEF impose a tight control requirement on the photomask critical dimensions. Critical dimensions can be measured in aerial images with hardware emulation. This is a more recent complement to the standard scanning electron microscope measurement of wafers and photomasks. Aerial image measurement includes non-linear, 3-dimensional, and materials effects on imaging that cannot be observed directly by SEM measurement of the mask. Aerial image measurement excludes the processing effects of printing and etching on the wafer. This presents a unique contribution to the difficult process control and modeling tasks in mask making. In the past, aerial image measurements have been used mainly to characterize the printability of mask repair sites. Development of photomask CD characterization with the AIMSTM tool was motivated by the benefit of MEEF sensitivity and the shorter feedback loop compared to wafer exposures. This paper describes a new application that includes: an improved interface for the selection of meaningful locations using the photomask and design layout data with the CalibreTM Metrology Interface, an automated recipe generation process, an automated measurement process, and automated analysis and result reporting on a Carl Zeiss AIMSTM system.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Semi-automated repair verification of aerial images

Eric Poortinga; Thomas Schereubl; Rigo Richter

Using aerial image metrology to qualify repairs of defects on photomasks is an industry standard. Aerial image metrology provides reasonable matching of lithographic imaging performance without the need for wafer prints. Utilization of this capability by photomask manufacturers has risen due to the increased complexity of layouts incorporating RET and phase shift technologies. Tighter specifications by end-users have pushed aerial image metrology activities to now include CD performance results in addition to the traditional intensity performance results. Discussed is the computer implemented semi-automated analysis of aerial images for repair verification activities. Newly designed user interfaces and algorithms could guide users through predefined analysis routines as to minimize errors. There are two main routines discussed here, one allowing multiple reference sites along with a test/defect site on a single image of repeating features. The second routine compares a test/defect measurement image with a reference measurement image. This paper highlights new functionality desirable for aerial image analysis as well as describes possible ways of its realization. Using structured analysis processes and innovative analysis tools could lead to a highly efficient and more reliable result reporting of repair verification metrology.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

New analysis tools and processes for mask repair verification and defect disposition based on AIMS™ images

Rigo Richter; Eric Poortinga; Thomas Scheruebl

Using AIMSTM to qualify repairs of defects on photomasks is an industry standard. AIMSTM images match the lithographic imaging performance without the need for wafer prints. Utilization of this capability by photomask manufacturers has risen due to the increased complexity of layouts incorporating RET and phase shift technologies. Tighter specifications by end-users have pushed AIMSTM analysis to now include CD performance results in addition to the traditional intensity performance results. Discussed is a new Repair Verification system for automated analysis of AIMSTM images. Newly designed user interfaces and algorithms guide users through predefined analysis routines as to minimize errors. There are two main routines discussed, one allowing multiple reference sites along with a test/defect site within a single image of repeating features. The second routine compares a test/defect measurement image with a reference measurement image. Three evaluation methods possible with the compared images are discussed in the context of providing thorough analysis capability. This paper highlights new functionality for AIMSTM analysis. Using structured analysis processes and innovative analysis tools leads to a highly efficient and more reliable result reporting of repair verification analysis.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

A user-programmable link between data preparation and mask manufacturing equipment

Weidong Zhang; Grant Davis; Emile Sahouria; Steffen Schulze; Mohammed Saad; Arne Seyfarth; Eric Poortinga

In order to fully exploit the design knowledge during the operation of mask manufacturing equipment, as well as to enable the efficient feedback of manufacturing information upstream into the design chain, close communication links between the data processing domain and the machine are necessary. With shrinking design rules and modeling technology required to drive simulations and corrections, the amount and variety of measurements, for example, is steadily growing. This requires a flexible and automated setup of parameters and location information and their communication with the machine. The paper will describe a programming interface based on the Tcl/Tk language that contains a set of frequently reoccurring functions for data extraction and search, site characterization, site filtering, and coordinate transfer. It enables the free programming of the links, adapting to the flow and the machine needs. The interface lowers the effort to connect to new tools with specific measurement capabilities, and it reduces the setup and measurement time. The interface is capable of handling all common mask writer formats and their jobdecks, as well as OASIS and GDSII data. The application of this interface is demonstrated for the Carl Zeiss AIMSTM system.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Printability study with polarization based AIMS fab 193i to investigate mask polarization effects

Axel Zibold; Ulrich Stroessner; Eric Poortinga; Rainer Schmid; Thomas Scherübl; Norbert Rosenkranz; Wolfgang Harnisch

Immersion lithography offers the semiconductor industry the chance to extend the current ArF processes to smaller nodes before switching to a shorter wavelength. The move to immersion will require increased attention to the photomask along with new effects influencing the aerial image formation as the numerical apertures (NA) of scanners move up to at least 0.93 and even higher. Feature sizes on the photomask become comparable or even smaller than the wavelength and hence act more like wire grid polaris ers which lead to polarisation effects. As of today AIMSTM fab tools are in operation worldwide. The novel AIMSTM fab 193i tool with a maximum NA of 0.93 is the latest aerial image measurement system for ArF-lithography emulation down to the 65nm node. Common adjustments are numerical aperture, illumination type and partial illumination coherence to match the conditions in 193nm scanners. In addition to non-polarized illumination, the AIMSTM fab 193i allows the user to select linear x and y polarised light for different settings and types, e.g. off-axis annular, quadrupole or dipole illumination. In this paper the polarisation effects of different photomask features are explored by comparing measurement results using linear polarised illumination parallel and perpendicular to line and space patterns and non-polarised illumination. Different MoSiON embedded phase shift masks have been investigated at the highest possible NA=0.93 and for different half-pitches from 500nm to 260nm, the latter corresponding to the 65nm node at the wafer level.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Advances with the new AIMS fab 193 2nd generation: a system for the 65 nm node including immersion

Axel Zibold; Eric Poortinga; Hans Van Doornmalen; Rainer Schmid; Thomas Scherübl; Wolfgang Harnisch

The Aerial Image Measurement System, AIMS, for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability for critical structures including dense patterns and defects or repairs on masks. The main benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer CD-SEM resist or wafer analysis. By adjustment of numerical aperture (NA), illumination type and partial coherence (σ) to match any given stepper/ scanner, AIMS predicts the printability of 193nm reticles such as binary with, or without OPC and phase shifting. A new AIMS fab 193 second generation system with a maximum NA of 0.93 is now available. Improvements in field uniformity, stability over time, measurement automation and higher throughput meet the challenging requirements of the 65nm node. A new function, “Global CD Map” can be applied to automatically measure and analyse the global CD uniformity of repeating structures across a reticle. With the options of extended depth-of-focus (EDOF) software and the upcoming linear polarisation capability in the illumination the new AIMS fab 193 second generation system is able to cover both dry and immersion requirements for NA < 1. Rigorous simulations have been performed to study the effects of polarisation for imaging by comparing the aerial image of the AIMS to the resist image of the scanner.


Archive | 2003

Photomask having an internal substantially transparent etch stop layer

Patrick M. Martin; Matthew Lassiter; Darren Taylor; Michael Cangemi; Eric Poortinga


Archive | 2010

METHOD FOR EMULATION OF A PHOTOLITHOGRAPHIC PROCESS AND MASK INSPECTION MICROSCOPE FOR PERFORMING THE METHOD

Eric Poortinga; Thomas Scherübl; Rigo Richter; Arne Seyfarth

Collaboration


Dive into the Eric Poortinga's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge