Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Byung-lyul Park is active.

Publication


Featured researches published by Byung-lyul Park.


symposium on vlsi technology | 2003

The breakthrough in data retention time of DRAM using Recess-Channel-Array Transistor(RCAT) for 88 nm feature size and beyond

Jedon Kim; Chong-Ock Lee; So Eun Kim; I.B. Chung; Yong-lack Choi; Byung-lyul Park; Jae W. Lee; Dong In Kim; Young-Nam Hwang; D.S. Hwang; Ho Kyong Hwang; Jong-Ho Park; D. H. Kim; N.J. Kang; M.H. Cho; M.Y. Jeong; Hong-Ki Kim; Jungin Han; Seoung-Hyun Kim; B.Y. Nam; Hong-Bae Park; S.H. Chung; Jun-Won Lee; Joon Seok Park; Hyun-Su Kim; Young-rae Park; K. Kim

For the first time, 512 Mb DRAMs using a Recess-Channel-Array-Transistor(RCAT) are successfully developed with 88 nm feature size, which is the smallest feature size ever reported in DRAM technology with non-planar array transistor. The RCAT with gate length of 75 nm and recessed channel depth of 150 nm exhibits drastically improved electrical characteristics such as DIBL, BV/sub DS/, junction leakage and cell contact resistance, comparing to a conventional planar array transistor of the same gate length. The most powerful effect using the RCAT in DRAMs is a great improvement of data retention time. In addition, this technology will easily extend to sub-70 nm node by simply increasing recessed channel depth and keeping the same doping concentration of the substrate.


symposium on vlsi technology | 2005

S-RCAT (sphere-shaped-recess-channel-array transistor) technology for 70nm DRAM feature size and beyond

Ji-Hui Kim; Hansu Oh; D.S. Woo; Y.S. Lee; D. H. Kim; Sung-Gi Kim; G.W. Ha; H.J. Kim; N.J. Kang; J.M. Park; Young-Nam Hwang; Dae-youn Kim; Byung-lyul Park; M. Huh; B.H. Lee; S.B. Kim; Myoung-kwan Cho; Min-wook Jung; Young-Ran Kim; C. Jin; Dong-woon Shin; Myoungseob Shim; C.S. Lee; Woon-kyung Lee; Jong-Dae Park; G.Y. Jin; Young-rae Park; Kinam Kim

For the first time, S-RCAT (sphere-shaped-recess-channel-array transistor) technology has been successfully developed in a 2Gb density DRAM with 70nm feature size. It is a modified structure of the RCAT (recess-channel-array transistor) and shows an excellent scalability of recessed-channel structure to sub-50nm feature size. The S-RCAT demonstrated superior characteristics in DIBL, subthreshold swing (SW), body effect, junction leakage current and data retention time, comparing to the RCAT structure, in this paper, S-RCAT is proved to be the most promising DRAM array transistor suitable for sub-50nm and mobile applications.


symposium on vlsi technology | 2005

The excellent scalability of the RCAT (recess-channel-array-transistor) technology for sub-70nm DRAM feature size and beyond

Jung-Geun Kim; D.S. Woo; Hansu Oh; H.J. Kim; Sung-Gi Kim; Byung-lyul Park; Jin-Hyoung Kwon; Myoungseob Shim; G.W. Ha; Jai-Hyuk Song; N.J. Kang; J.M. Park; Ho Kyong Hwang; S.S. Song; Young-Nam Hwang; Dae-youn Kim; D. H. Kim; M. Huh; D.H. Han; C.S. Lee; Seok-Han Park; Yongho Kim; Y.S. Lee; Min-wook Jung; Young-Ran Kim; B.H. Lee; Myung-Haing Cho; W.T. Choi; Hyun-Su Kim; G.Y. Jin

The technology innovation for extending the RCAT structure to the sub-70nm DRAM is presented. The new technology overcomes the problems induced by shrinkage of the RCAT structure and meets the requirements for the next generation DRAMs, such as high speed and low power performance. The technology roadmap down to the 50nm DRAM feature size of the RCAT development is presented.


international electron devices meeting | 2004

A mechanically enhanced storage node for virtually unlimited height (MESH) capacitor aiming at sub 70nm DRAMs

D. H. Kim; Jung-Geun Kim; M. Huh; Young-Nam Hwang; J.M. Park; D.H. Han; D.I. Kim; Myoung-kwan Cho; B.H. Lee; H.K. Hwang; J.W. Song; N.J. Kang; G.W. Ha; S.S. Song; M.S. Shim; Sung-Gi Kim; J.M. Kwon; Byung-lyul Park; Hyeok-Sang Oh; H.J. Kim; D.S. Woo; M.Y. Jeong; Yihwan Kim; Yong-Tak Lee; J.C. Shin; J.W. Seo; S.S. Jeong; K.H. Yoon; T.H. Ahn; Y.W. Hyung

Fully reliable lean-free stacked capacitor, with the meshes of the supporter made of Si/sub 3/N/sub 4/, has been successfully developed on 80nm COB DRAM application. This novel process terminates persistent problems caused by mechanical instability of storage node with high aspect ratio. With Mechanically Enhanced Storage node for virtually unlimited Height (MESH), the cell capacitance over 30fF/cell has been obtained by using conventional MIS dielectric with an equivalent 2.3nm oxide thickness. This inherently lean-free capacitor makes it possible extending the existing MIS dielectric technology to sub-70nm OCS (one cylindrical storage node) DRAMs.


international electron devices meeting | 2003

An outstanding and highly manufacturable 80nm DRAM technology

Hyun-Su Kim; Dong-Dae Kim; J.M. Park; Young-Nam Hwang; M. Huh; H.K. Hwang; N.J. Kang; B.H. Lee; Myoung-kwan Cho; Sung-Gi Kim; Jung-Geun Kim; Byung-lyul Park; J.W. Lee; D.I. Kim; M.Y. Jeong; H.J. Kim; Y.J. Park; Kinam Kim

For the first time, fully working 512 Mb DRAMS have been developed successfully using an 80 nm DRAM technology, which is the smallest feature size in DRAM technology ever reported. With an ArF lithography, recess-channel-array-transistors (RCAT), low-temperature MIS capacitor technologies and a newly developed top spacer storage node contact (TSC), we have realized these 512 Mb DRAMS. Also, we have reduced process steps, including the layer requiring ArF lithography, by using the TSC process.


symposium on vlsi technology | 2000

A 0.13 /spl mu/m DRAM technology for giga bit density stand-alone and embedded DRAMs

Keon-Soo Kim; Tae-Young Chung; H.S. Jeong; J.T. Moon; Y.W. Park; G.T. Jeong; K.H. Lee; Gwan-Hyeob Koh; Dong-won Shin; Young-Nam Hwang; D.W. Kwak; Hyung Soo Uh; Dae-Won Ha; J.W. Lee; Soo-Ho Shin; M.H. Lee; Yoon-Soo Chun; J.K. Lee; Byung-lyul Park; Jun-sik Oh; J.G. Lee; S.H. Lee

In this paper, a 0.13 /spl mu/m DRAM technology is developed with KrF lithography. In order to extend KrF lithography to 0.13 /spl mu/m generation, full CMP technology is developed in order to provide flat surface. Full self-aligned contact (SAC) technology can make memory cell processes easy because memory cell landing pads and storage node contact plug can be formed with self-aligned manner respect to word-line and bit-line. By these technologies, the extremely small memory cell is easily realized without any yield loss. Low-temperature PAOCS MIS capacitor with Al/sub 2/O/sub 3/ can greatly reduce the aspect ratio of metal contact, thereby yielding stable metal contact process. And it can help DRAM technology easily to merge with logic process. The 0.13 /spl mu/m integration technology is successfully demonstrated with 1 Gb DRAM.


international interconnect technology conference | 2012

Annealing process and structural considerations in controlling extrusion-type defects Cu TSV

Jin-ho An; Kwang-jin Moon; So-Young Lee; Do-Sun Lee; Ki-Young Yun; Byung-lyul Park; Ho-Jun Lee; Jiwoong Sue; Yeong-lyeol Park; Gil-heyun Choi; Ho-Kyu Kang; Chilhee Chung

Stresses induced by the large volume of Cu in Through Silicon Vias (TSV) can result in global/local Cu extrusion which may affect reliability in 3D chip stacking technologies beyond the 28 nm node for high performance mobile devices. In this work, TSV structural factors that can influence extrusion post via filling are studied. In addition, the impact of the electroplating chemistry and annealing schemes on local extrusion type defect formation in TSVs are also studied.


symposium on vlsi technology | 2004

Novel robust cell capacitor (Leaning Exterminated Ring type Insulator) and new storage node contact (Top Spacer Contract) for 70nm DRAM technology and beyond

J.M. Park; Young-Nam Hwang; Dong-woon Shin; M. Huh; D. H. Kim; Ho Kyong Hwang; Hansu Oh; Jai-Hyuk Song; N.J. Kang; B.H. Lee; C.J. Yun; Myoungseob Shim; Sung-Gi Kim; Jung-Geun Kim; Jin-Hyoung Kwon; Byung-lyul Park; J.W. Lee; Dae-youn Kim; Myoung-kwan Cho; M.Y. Jeong; H.J. Kim; Hyun-Su Kim; G.Y. Jin; Yeonsang Park; Kinam Kim

For the first time, novel robust capacitor (Leaning exterminated Ring type Insulator - LERI) and new storage node (SN) contact process (Top Spacer Contact - TSC) are successfully developed with 82nm feature size. These novel processes drastically improved electrical characteristics such as cell capacitance, parasitic bit line capacitance and cell contact resistance, compared to a conventional process. The most pronounced effect using the LERI in COB structure is to greatly improve cell capacitance without twin bit failure. In addition, the TSC technology has an ability to remove a critical ArF lithography. By using the LERI and TSC processes in 82nm 512M DDR DRAM, the cell capacitance of 32fF/cell is achieved with Toxeq of 2.3nm and the parasitic bit line capacitance is reduced by 20%, resulted in great improvement of tRCD (1.5ns).


advanced semiconductor manufacturing conference | 2012

Properties of isolation liner and electrical characteristics of high aspect ratio TSV in 3D stacking technology

Deok Young Jung; Kwang-jin Moon; Byung-lyul Park; Gil-heyun Choi; Ho-Kyu Kang; Chilhee Chung; Yonghan Rho

As semiconductor performance improvements through device scale-down becomes more difficult, 3D chip stacking technology with TSVs (Through Silicon Via) is becoming an increasingly attractive solution to achieve higher system performances by way of higher bandwidth, smaller form factor and lower power consumption. Such increase in performance using TSV aided 3D chip stacking technology applies not only to homogenous chip stacking but to heterogeneous chip stacking (e.g. memory device on logic) as well, making it ideal for such applications in high performance mobile devices.


international electron devices meeting | 2002

A novel robust TiN/AHO/TiN capacitor and CoSi/sub 2/ cell pad structure for 70nm stand-alone and embedded DRAM technology and beyond

J.M. Park; Young-Nam Hwang; D.S. Hwang; H.K. Hwang; S.H. Lee; Gyu-Hong Kim; M.Y. Jeong; Byung-lyul Park; Sung-Gi Kim; Myoung-kwan Cho; D.I. Kim; Joo-Hyuk Chung; In-Soo Park; Cha-young Yoo; J. H. Lee; B.Y. Nam; Yoon-Sik Park; Choul Soo Kim; M.-C. Sun; J.-H. Ku; Sung Je Choi; Hyung-Gon Kim; Yeonsang Park; Kinam Kim

For the first time, a novel robust (square-shape cylinder type) TiN/AHO (Al/sub 2/O/sub 3/-HfO/sub 2/)/TiN capacitor with Co-silicide on landing cell pad suitable for both stand-alone and embedded DRAMs are successfully developed with 88nm (pitch 176nm) feature size, which is the smallest feature size ever reported in DRAM technology, using ArF lithography for aiming 70nm stand-alone and embedded DRAM technology. The capacitor with Toxeq of 1.5nm and leakage current of less than 1 fA/cell is achieved. The cell contact resistance is greatly improved by using Co-silicidation on landing cell pad and metal storage node contact plug, which results in high performance.

Collaboration


Dive into the Byung-lyul Park's collaboration.

Researchain Logo
Decentralizing Knowledge