Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cecilia Montgomery is active.

Publication


Featured researches published by Cecilia Montgomery.


Proceedings of SPIE | 2008

Film quantum yields of EUV& ultra-high PAG photoresists

Elsayed Hassanein; Craig Higgins; Patrick Naulleau; Richard Matyi; Gregg M. Gallatin; Gregory Denbeaux; Alin Antohe; Jim Thackeray; Kathleen Spear; Charles R. Szmanda; Christopher N. Anderson; Dimitra Niakoula; Matthew Malloy; Anwar Khurshid; Cecilia Montgomery; Emil Piscani; Andrew C. Rudack; Jeff D. Byers; Andy Ma; Kim Dean; Robert Brainard

Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV- 2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.


Proceedings of SPIE | 2013

Resist process applications to improve EUV patterning

Karen Petrillo; Kyoungyoung Cho; Alexander Friz; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Stefan Wurm; Takashi Saito; Lior Huli; Akiteru Ko; Andrew Metz

Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space (L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch. A feasibility study of negative tone develop (NTD) resists for EUV is also included.


Proceedings of SPIE | 2016

Benchmarking study of EUV resists for NXE:3300B

Yu-Jen Fan; Mac Mellish; Jun Sung Chun; Scott McWilliams; Cecilia Montgomery; Warren Montgomery

EUV lithographers have continued to reduce the barriers to high Volume Manufacturing (HVM) introduction. Tool, mask and photoresist manufacturers have made excellent progress on several fronts, including resolution of many EUV source related issues, resists for early imaging characterization, and defect inspection tooling. In this discussion, we will focus on photoresist development. For many years, the team at SUNY Polytechnic Institute (SUNY Poly) has provided results from a neutral photoresist benchmarking study, which has been quite useful in establishing the limits of currently available photoresist systems [1-5]. New photoresist systems are being developed with improving resolution, but they also have lower coated thicknesses. In an effort to continue to point out potential lithographic problem areas, SUNY Poly has been evaluating the ‘etch compatibility’ of the best performing photoresists available in order to determine if the decreasing aspect ratios would prove a detriment to etch performance. In this paper, we will show data from our most recent benchmark study. We will also include smoothing process results, as well as some post-etch results obtained using the NXE:3300B resident on the SUNY Poly campus.


Proceedings of SPIE | 2013

Photoresist shrinkage effects in 16 nm node extreme ultraviolet (EUV) photoresist targets

Benjamin Bunday; Cecilia Montgomery; Warren Montgomery; Aron Cepler

Photoresist shrinkage (i.e., line slimming) is an important systematic uncertainty source in critical dimension-scanning electron microscope (CD-SEM) metrology of lithographic features [1] [2] [3] [4] [5]. It influences both the precision and the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is desirable, yet elusive. This error source will be a factor in CD-SEM metrology on polymer materials in EUV lithography. Recent work has demonstrated improved understanding of the trends in the shrinkage response depending on electron beam and target parameters in static measurements [2] [3] [4] [5] [6]. Some research has highlighted a second mode of shrinkage that is apparent over time and progresses as a function of time between consecutive measurements, a form of “dynamic shrinkage” that appears to be activated by electron beam, in which the activated feature perpetually and logarithmically shrinks [7] [8]. Another work has demonstrated that as pitches continue to get smaller with resulting reductions in spaces between lines, charging may emerge as an additional, competing, unpredictable error source for CD-SEM metrology on dense photoresist features, an issue that is predicted to become more common as these spaces become more confined [9]. In this work, we explore the static shrinkage behaviors of various EUV photoresists into the 16 nm half-pitch node, with samples generated using the advanced EUV lithography capable of generating such tight pitches [10]. Dynamic shrinkage behavior was explored on these materials last year [15]. The static shrinkage behaviors will be validated to show compliance with the SEMATECH shrinkage model [5] [6] on small EUV resist features. Using the results of the model fits, a simulation study will predict the shrinkage trends at future nodes. Further studies will confirm whether or not charging phenomena are observable, and the beginning of a charging simulation study will be discussed.


Proceedings of SPIE | 2015

Novel resist approaches to enable EUV lithography in high volume manufacturing and extensions to future nodes

Mark Neisser; Kevin Cummings; Sean Valente; Cecilia Montgomery; Yu-Jen Fan; K. Matthews; JunSung Chun; Paul D. Ashby

EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs innovations to make it a success. One area where innovation is needed is resist performance. Resists that are commercially available for EUV use are typically based on conventional chemically amplified resist chemistry. So far, this has not provided the required performance at fast enough photo speed. Many innovative resist systems have been introduced in the last few years that have novel mechanisms and/or incorporate novel chemical elements with high EUV absorbance. These new systems are promising enough for EUV use that work on many of them now needs to shift to characterizing their functional parameters and optimizing their performance. For the future, new systems beyond these will have to focus on reducing the inherent noise in resist imaging. The concept of pixelated resists is introduced and it is suggested pixelated resists are one possible avenue for imaging sub 10nm features with sufficient feature size and profile control.


Proceedings of SPIE | 2014

SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement

Jun Sung Chun; Shih-Hui Jen; Karen Petrillo; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Takashi Saito; Lior Huli; David Hetzer

With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRMTM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRMTM materials.


SPIE Photomask Technology | 2011

Effect of SPM-based cleaning POR on EUV mask performance

Jaehyuck Choi; Han-Shin Lee; Jinsang Yoon; Takeya Shimomura; Alex Friz; Cecilia Montgomery; Andy Ma; Frank Goodwin; Dae-hyuk Kang; Paul Chung; In-kyun Shin; Hwa-Suck Cho

EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. The fact that a pellicle is not used to protect the mask surface in EUV lithography suggests that EUV masks may have to undergo more cleaning cycles during their lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality and patterning performance during 30 cycles of Samsungs EUV mask SPM-based cleaning and 20 cycles of SEMATECH ADT exposure. We have observed that the quality and patterning performance of EUV masks does not significantly change during these processes except mask pattern CD change. To resolve this issue, we have developed an acid-free cleaning POR and substantially improved EUV mask film loss compared to the SPM-based cleaning POR.


Proceedings of SPIE | 2015

Evaluation of novel processing approaches to improve extreme ultraviolet (EUV) photoresist pattern quality

Cecilia Montgomery; Jun Sung Chun; Yu-Jen Fan; Shih-Hui Jen; Mark Neisser; Kevin Cummings; Warren Montgomery; Takashi Saito; Lior Huli; David Hetzer; Hiroie Matsumoto; Andrew Metz; Vinayak Rastogi

Recently there has been a great deal of effort focused on increasing EUV scanner source power; which is correlated to increased wafer throughput of production systems. Another way of increasing throughput would be to increase the photospeed of the photoresist used. However increasing the photospeed without improving the overall lithographic performance, such as local critical dimension uniformity (L-CDU) and process window, does not deliver the overall improvements required for a high volume manufacturing (HVM). This paper continues a discussion started in prior publications [Ref 3,4,6], which focused on using readily available process tooling (currently in use for 193 nm double patterning applications) and the existing EUV photoresists to increase photospeed (lower dose requirement) for line and space applications. Techniques to improve L-CDU for contact hole applications will also be described.


Proceedings of SPIE | 2015

First results of outgas resist family test and correlation between outgas specifications and EUV resist development

Yu-Jen Fan; Ken Maruyama; Ramakrishnan Ayothi; Takehiko Naruoka; Tonmoy Chakraborty; Dominic Ashworth; Jun Sung Chun; Cecilia Montgomery; Shih-Hui Jen; Mark Neisser; Kevin Cummings

In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.


Proceedings of SPIE | 2011

Scatterometry for EUV lithography at the 22-nm node

Benjamin Bunday; Victor Vartanian; Liping Ren; George Huang; Cecilia Montgomery; Warren Montgomery; Alex Elia; Xiaoping Liu

Moores Law continues to drive improvements to lithographic resolution to increase integrated circuit transistor density, improve performance, and reduce cost. For the 22 nm node and beyond, extreme ultraviolet lithography (EUVL) is a promising technology with λ=13.5 nm, a larger k1 value and lower cost of ownership than other available technologies. For small feature sizes, process control will be increasingly challenging, as small features will create measurement uncertainties, yet with tighter specifications. Optical scatterometry is a primary candidate metrology for EUV lithography process control. Using simulation and experimental data, this work will explore scatterometrys application to a typical lithography process being used for EUV development, which should be representative of lithography processes that will be utilized for EUV High Volume manufacturing (HVM). EUV lithography will be performed using much thinner photoresist thicknesses than were used at the 248nm or 193nm lithography generations, and will probably include underlayers for adhesion improvement; these new processes conditions were investigated in this metrological study.

Collaboration


Dive into the Cecilia Montgomery's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jun Sung Chun

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

Lior Huli

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge