Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chan Hwang is active.

Publication


Featured researches published by Chan Hwang.


Journal of Adhesion Science and Technology | 1998

Adhesion improvement of epoxy resin/copper lead frame j oints by azole compounds

S.M. Song; C.E. Park; Hyun-kyu Yun; Chan Hwang; Seunghee Oh; Jungsik Park

The adhesion strength of epoxy resin/copper joints is often very poor, due to the naturally formed copper oxide having a low mechanical strength. To improve the adhesion strength of epoxy resin/copper lead frame joints, copper lead frames were treated with azole compounds as adhesion promoters. The azole compounds used were benzotriazole (BTA), benzotriazole-5-carboxylic acid (CBTA), 8-azaadenine, imidazole, 2-methyl imidazole, urocanic acid, adenine, benzimidazole, and polybenzimidazole (PBI). The dependence of the adhesion strength of epoxy resin/azole-treated copper joints on the structure of the azole compound, the azole treatment time, and the azole treatment temperature was investigated. The surface coverage of azole-treated copper was examined by contact angle measurements, a surface defect test, optical microscopy, and scanning electron microscopy (SEM), and the locus of failure was studied by X-ray photoelectron spectroscopy (XPS). Triazole compounds such as CBTA and 8-azaadenine showed excellent...


Proceedings of SPIE | 2011

Investigation on accuracy of process overlay measurement

Chan Hwang; Jeongjin Lee; Seung-yoon Lee; Jeongho Yeo; Yeonghee Kim; Hongmeng Lim; Dongsub Choi

The shrinkage of design rule necessitated corresponding tighter overlay control. However, in advanced applications, the extension of current technology may not be able to meet the control requirement, consequently, additional breakthroughs are required. In this study, we investigated methods to enhance the overlay control, approaches by extraction of real overlay error out of overlay measurement. So far, only the destructive inspections like vertical SEM have enabled us to measure real misalignment. But, a concept of non-destructive method is proposed in this paper, extracting vertical information from the results of multiple measurements with various measurement conditions, keys or recipes. With this proposed method, the measurement accuracy can be improved and we can enable a new knob for overlay control.


Optical Microlithography XVIII | 2005

Measurement technique of nontelecentricity of pupil-fill and its application to 60 nm NAND flash memory patterns

Jangho Shin; Suk-joo Lee; Ho-Chul Kim; Chan Hwang; Seong-Sue Kim; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon

Various pupil-fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS) of ASML, source metrology instrument (SMI) of Litel, Fresnel zone plate (FZP) of Philips, and non-telecentricity measurement technique using traditional overlay marks, which is based on an idea that pattern shift is proportional to the amount of defocus. Based on aerial image simulation with measured non-telecentricity, its effect on sub-70 nm device patterning is discussed. Experimental data shows that some of pupil-fills appear more than 70 milli-radian of source displacement error and it may cause serious pattern shift and/or asymmetry. Detailed descriptions of measurement techniques and experimental results are presented.


Optical Microlithography XVII | 2004

Impact of illumination intensity profile on lithography simulation

Chan Hwang; Insung Kim; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han

Accuracy of lithography simulation largely depends on the properly chosen input parameters. Illumination profile among those parameters in the simulation has been idealized into simple intensity profile, which can be described by several characteristic parameters such as inner and outer partial coherences. However, pupil measurement data shows that real illumination intensity profiles in exposure tools are deviated from the ideal intensity profile. Simulation error due to this deviation degrades the accuracy and even spoils extraction of resist parameters. And the intensity profile can be additionally changed by the condition of exposure tool. Hence the modeling of intensity profile is required to improve the accuracy of simulation and to monitor the illumination status of exposure tool. In this paper, we studied the effect of illumination profile on isolated and dense features. The modeling of illumination profile was proposed and equipped on in-house simulation tool. This modeling makes it possible to enhance the accuracy of lithography simulation and monitor the illumination status of exposure tool.


Proceedings of SPIE | 2010

A novel robust diffraction-based metrology concept for measurement and monitoring of critical layers in memory devices

Boo-Hyun Ham; Hyun-Jea Kang; Chan Hwang; Jeongho Yeo; Cheol-Hong Kim; Suk-Woo Nam; Joo-Tae Moon; Martyn Coogans; Arie Jeffrey Den Boef; Chan-Ho Ryu; Stephen P. Morgan; Andreas Fuchs

Current image based overlay metrology accuracy will not be suitable for the critical layers of near future memory production. At current nodes, measurement reproducibility of 0.6nm or better is required. The number of sampling points is also expected to increase due to the need for higher order process corrections on the exposure tool. To maintain or improve total measurement cost, these requirements should be met without negatively impacting throughput. In this paper we will study a novel, diffraction-based system especially designed to meet these challenging requirements for next generation memory devices. In addition to overlay metrology, the system is capable of measuring CD and side wall angle (SWA) within the same measurement cycle. The system can also be used to monitor exposure tool overlay and focus stability. In this paper we intend to examine the metrics used to evaluate the overlay metrology performance critical for a DRAM production environment. We also intend to spend much of the paper taking a deeper look at how we can combine the overlay and CD metrology functionalities to examine the asymmetric profile of target gratings. One of the critical applications for diffraction based overlay metrology is in understanding the asymmetric properties of target gratings across a wafer. Reconstructing asymmetric profiles quickly, effectively and with a suitable degree of sensitivity, will allow measurement accuracy to be further enhanced and will open the door to numerous applications within the memory fab environment including process monitoring and improvement. In this paper, we intend to investigate techniques for detecting asymmetric structures and also for the more complex issue of reconstructing the shape of these structures.


Optical Microlithography XVIII | 2005

Requirement of in-field illumination control for low k1 imaging below 0.3

Chan Hwang; Jangho Shin; Suk-joo Lee; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon

As device production is performed towards limits of k1 process, many issues are caused by lowering k1 value, which has been considered negligible at higher k1 value. Among these passed-over problems, illumination control error such as non-telecentricity is currently investigated in-depth. Comparing with projection lens, illumination system is not well verified and the amount of aberration is quite larger. Consequently, illumination pupil-fill has different shape along field position resulting in in-field distribution with a degree, which may lead to considerable critical dimension (CD) difference. Therefore, the effect of errors in illumination system should be evaluated to determine the required controllability of illumination system for low k1 imaging. Illumination control error is represented by the deformation and movement of the intensity profile in pupil plane and it can be decomposed into blurring, intensity unbalance and telecentric error or axis tilt, and so forth. In this paper, these components are computationally modeled and the modeling is implemented using an in-house lithography simulator. Using the modeling, the functionality of each illumination error component on CD variation can be separately resolved. The analysis results presented in this paper provide the relationship between CD control and required illumination control, and the allowable amount of control error for illumination can be estimated.


Proceedings of SPIE | 2016

A study of swing-curve physics in diffraction-based overlay

Kaustuve Bhattacharyya; Arie Jeffrey Den Boef; Greet Storms; Joost van Heijst; Marc Noot; Kevin An; Noh-Kyoung Park; Se-Ra Jeon; Nang-Lyeom Oh; Elliott McNamara; Frank van de Mast; SeungHwa Oh; Seung Yoon Lee; Chan Hwang; Kun-tack Lee

With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection. In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.


Journal of Vacuum Science & Technology B | 2005

Understanding the impact of source displacement error on sub-90nm patterns using a fresnel zone plate

Jangho Shin; Chan Hwang; Suk-joo Lee; Sang-Gyun Woo; Han-Ku Cho; Joo-Tae Moon

Illumination source radiance distribution has a significant impact on vertical-horizontal bias and critical dimension (CD) variation on production wafers. In this article, the impact of source displacement error (SDE) on sub-90nm patterning is studied. A Fresnel zone plate (FZP) is adopted as a metrology to quantify the amount of SDE. Experimental data show that some of pupil-fills may have more than 50mrad of SDE and it could cause a serious pattern shift and/or CD asymmetry. A detailed description of FZP design specifications and application results are presented. Finally, SDE tolerance limits to print sub-90nm features are discussed.


Optical Microlithography XVII | 2004

Layer-specific illumination for low-k1 periodic and semiperiodic DRAM cell patterns: design procedure and application

Chan Hwang; Dong-Seok Nam; Jin-Hong Park; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han

The optical resolution of photolithography is limited by the numerical aperture (NA) of lens, wavelength of light source, and k1 factor. Nowadays, the low k1 process is necessary, since the tool development is delayed due to technology difficulties. In order to enhance the process latitude in the low k1 region, special illumination design for specific patterns has been studied. Although illumination optimization is one of the promising solutions to develop the low k1 process, specific design for each pattern has not been applied since the case-by-case illumination design is not easy. The specific layer oriented illumination design is generated using our in-house tool. A DRAM cell is composed of periodic or semi-periodic patterns, and the design of layer specific illumination is made for those patterns with the target of enlarged depth of focus (DOF). It is observed that the DOF and exposure latitude of a DRAM isolated pattern using the optimized illumination are increased in comparison with the conventional annular illumination. It is expected that the lifetime of low-grade exposure tools can be extended by this illumination optimization technique.


Data Analysis and Modeling for Process Control | 2004

Advanced module-based approach to effective CD prediction of sub-100nm patterns

Jangho Shin; Insung Kim; Chan Hwang; Dong-Woon Park; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han; Joo-Tae Moon

In this article, an advanced module-based approach is introduced to simulate sub-100 nm patterns. Topography (TOPO), an in-house lithography simulator, consists of four basic modules: i) illumination, ii) mask, iii) imaging, and iv) resist. Since TOPO is module-based, it is convenient for user specific applications. The input parameter of illumination module is pupil intensity profile, which is measured using the transmission image sensor of ASML. In the mask kernel, mask corner rounding effect is considered while imaging module takes care of lens aberration and flare problems. Finally, the resist module uses Gaussian convolution model with the trade-off in mind between accuracy of full resist model and speed of Gaussian convolution model. As an application example, an iso-dense bias (ID bias) fitting is implemented for an ArF resist to image sub-100 nm patterns. Simulation results show that the fitting error meets the prediction accuracy target of International Technology Roadmap for Semiconductors 2002. The advanced module-based model using aerial image with measured pupil intensity profile and Gaussian convolution seems to be an effective way for the CD prediction of sub-100 nm patterns.

Collaboration


Dive into the Chan Hwang's collaboration.

Researchain Logo
Decentralizing Knowledge