Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Cheng-Ting Chung is active.

Publication


Featured researches published by Cheng-Ting Chung.


international electron devices meeting | 2012

First experimental Ge CMOS FinFETs directly on SOI substrate

Cheng-Ting Chung; Che-Wei Chen; Jyun-Chih Lin; Che-Chen Wu; Chao-Hsin Chien; Guang-Li Luo

High-performance Ge CMOS FinFETs directly on thin silicon on insulator (SOI) wafer are demonstrated. For the first time, NFET of L<sub>channel</sub> =120nm and Fin width=40nm with high I<sub>on</sub>/I<sub>off</sub> ratio (>10<sup>5</sup>), excellent drain induced barrier lowering (DIBL) (110mV/V) and subthreshold swing (S.S) (144mV/dec) has been shown. Both Ge n- and p-channel FinFETs with multi-fins have been achieved. Even the NFET of L<sub>channel</sub> =90nm exhibits a pretty well on-off behavior after forming gas annealing.


IEEE Transactions on Electron Devices | 2013

Germanium N and P Multifin Field-Effect Transistors With High-Performance Germanium (Ge)

Che-Wei Chen; Cheng-Ting Chung; Ju-Yuan Tzeng; Pin-Hui Li; Pang-Sheng Chang; Chao-Hsin Chien; Guang-Li Luo

We demonstrate the characteristics of p<sup>+</sup>-Ge/n-Si and n<sup>+</sup>-Ge/p-Si heterojunction diodes formed by heteroepitaxial Ge grown on Si leading to high performance and very low leakage current. The ON/OFF current ratio of the p<sup>+</sup>-Ge/n-Si and n<sup>+</sup>-Ge/p-Si heterojunction was > 10<sup>7</sup> and > 10<sup>6</sup>, respectively. The OFF current density was extremely low at <; 10 μA/cm<sup>2</sup> for the p<sup>+</sup>-Ge/n-Si formed with different implantation energies of 10-40 KeV and ~ 20 μA/cm<sup>2</sup> for the n<sup>+</sup>-Ge/p-Si with different implantation energies of 20-50 KeV at a reverse bias of |<i>V</i><sub>R</sub>| = ±1 V, respectively. Both p and n-Ge channel multifin field-effect transistors (FinFETs) were formed by a mesa structure using these p<sup>+</sup>-Ge/n-Si and n<sup>+</sup>-Ge/p-Si heterojunctions. A high-κ/metal gate stack was employed. The body-tied Ge multifin FinFET with a fin width (<i>W</i><sub>Fin</sub>) of ~ 40 nm, and the channel length (L<sub>Channel</sub>) was 150 nm for p-FinFET and of 110 nm for n-FinFET, exhibiting a driving current of 174 μA/μm at <i>V</i><sub>G</sub>=-2 V and 102 μA/μm at <i>V</i><sub>G</sub>=2 V , respectively. This is the first experimental demonstration of a body-tied high mobility Ge channel multifin FinFET using a top-down approach.


IEEE Electron Device Letters | 2014

{\rm p}^{+}/{\rm n}

Che-Wei Chen; Ju-Yuan Tzeng; Cheng-Ting Chung; Hung-Pin Chien; Chao-Hsin Chien; Guang-Li Luo; Pei-Yu Wang; Bing-Yue Tsui

In this letter, we present a high performance Ge n-channel metal-oxide-semiconductor field-effect transistor (MOSFET) with a NiGe Schottky junction source/drain fabricated using phosphorus dopant segregation. Phosphorus atoms were implanted into NiGe and then driven toward the NiGe/p-Ge interface to depin the Fermi level and form a Schottky junction. A high effective barrier height (Φ<sub>Bp</sub>) of 0.57 eV, resulting in a high junction current ratio of at the applied voltage |V<sub>a</sub>| = ±1 V. The nMOSFET exhibited a high I<sub>ON</sub>/I<sub>OFF</sub> ratio of ~ 8×10<sup>3</sup> (I<sub>D</sub>), ~ 10<sup>5</sup> (I<sub>S</sub>), and a subthreshold swing of 138 mV/decade. The nMOSFET developed in this letter exhibited greater transconductance and a drain leakage current that is more than two orders of magnitude lower compared with nMOSFETs with the conventional n<sup>+</sup>/p junction.


IEEE Transactions on Electron Devices | 2013

and

Cheng-Ting Chung; Che-Wei Chen; Jyun-Chih Lin; Che-Chen Wu; Chao-Hsin Chien; Guang-Li Luo; Chi-Chung Kei; Chien-Nan Hsiao

Integrating germanium (Ge) thin film on silicon-on-insulator (SOI) substrate and fabricating Ge fin field-effect transistors (FinFETs) are demonstrated in this paper. Directly grown Ge film on a high-resistivity thin SOI substrate provides a good platform for fabricating advanced Ge devices. The SOI structure could effectively suppress junction leakage; therefore, high <i>I</i><sub>ON</sub>/<i>I</i><sub>OFF</sub> ratio (~5×10<sup>5</sup>, at <i>VD</i>=0.1 V) of the drain current is achieved. Tri-gate structure provides better short-channel control abilities for the Ge FinFETs, and the drain-induced barrier lowering and threshold voltage (<i>V</i><sub>TH</sub>) shift can be maintained at the level of ~110 mV/V and ~ 0.1 V, respectively, for Ge n-channel FinFET with <i>L</i><sub>channel</sub>=120 nm and <i>W</i><sub>Fin</sub>=40 nm. Multifin Ge FinFET with <i>L</i><sub>channel</sub>=170 nm and <i>W</i><sub>Fin</sub>=50 nm is also illustrated. Both <i>N</i>- and <i>P</i>-FinFETs possess high <i>I</i><sub>ON</sub>/<i>I</i><sub>OFF</sub> ratio over 10<sup>4</sup>. Besides, the subthreshold swing could be reduced around 25% after forming gas annealing.


IEEE Electron Device Letters | 2012

{\rm n}^{+}/{\rm p}

Che-Wei Chen; Cheng-Ting Chung; Guang-Li Luo; Chao-Hsin Chien

We fabricated body-tied Ge p-channel fin field-effect transistors (p-FinFETs) directly on a Si substrate with a high-κ/metal gate stack. This scheme is fully compatible with Si standard processing. The FinFET structure has excellent control on the channel potential and thus can improve the short-channel effect. The diode with p<sup>+</sup>-Ge/n-Si heterojunctions illustrates a remarkably high I<sub>ON</sub>/I<sub>OFF</sub> >; 10<sup>6</sup> despite the presence of misfit dislocations at the interface. The high-hole-mobility body-tied Ge p-FinFETs with a fin width <i>W</i><sub>Fin</sub> of ~ 40 nm and a mask channel length <i>L</i><sub>Mask</sub> of 120 nm depict a driving current of 22 μA/μm at <i>VG</i> = -2V and a low off-current of 3 nA/μm at <i>VG</i> = 2V. The subthreshold characteristics with a swing of 228 mV/dec and drain-induced barrier lowering of 288 mV/V are demonstrated.


Journal of The Electrochemical Society | 2010

Heterojunctions Formed on Si Substrate

Guang-Li Luo; Zong-You Han; Chao-Hsin Chien; Chih-Hsin Ko; Clement Hsingjen Wann; Hau-Yu Lin; Yi-Ling Shen; Cheng-Ting Chung; Shih-Chiang Huang; Chao-Ching Cheng; Chun-Yen Chang

Ge films were epitaxially grown on GaAs(100) substrates and Ga 0.88 In 0.12 As(100) virtual substrates using an ultrahigh vacuum/ chemical vapor deposition system. The incubation time of Ge growth depends on Ga(In)As surfaces that were processed by different wet chemical solutions. Growth behaviors, such as island growth at the initial stages and selective growth into recessed regions of GaAs, were studied by transmission electron microscopy. To test the quality of Ge grown on GaAs, an n + -Ge/p-GaAs diode was fabricated. We propose that through Ge selective epitaxial growth, Ge can be used as the source-drain of a GaAs metal-oxide-semiconductor field-effect transistor (MOSFET) to overcome some intrinsic limitations of this device.


IEEE Transactions on Electron Devices | 2014

Enhancing the Performance of Germanium Channel nMOSFET Using Phosphorus Dopant Segregation

Che-Wei Chen; Ju-Yuan Tzeng; Cheng-Ting Chung; Hung-Pin Chien; Chao-Hsin Chien; Guang-Li Luo

In this paper, we report Ge pand n-channel metal- oxide-semiconductor field-effect transistors (MOSFETs) with NiGe source/drain (S/D) with high performance and low leakage current. The forward/reverse current ratio of the NiGe/n-Ge and NiGe/p-Ge junctions were ~10<sup>5</sup> and ~2 × 10<sup>4</sup> at |V| = ±1 V, respectively. Interface state densities Dit of Al<sub>2</sub>O<sub>3</sub>/GeO<sub>2</sub>/Ge stack is improved to be around 10<sup>12</sup>/eV<sup>-1</sup> cm<sup>2</sup> near the midgap after forming gas annealing; the gate-stack also shows excellent reliability under constant field stressing. Both p- and n-channel MOSFETs show sufficiently high I<sub>ON</sub>/I<sub>OFF</sub> ratio. High driving current of ~9 and ~4 μA/μm at |V<sub>GS</sub> - V<sub>T</sub>| = ±0.8 V and |V<sub>DS</sub>| = ± V is obtained, respectively, for pand n-MOSFETs. Moreover, S/D series resistance R<sub>SD</sub> of the p- and n-MOSFET is reduced by ~25% and ~42% as compared with that of the transistors with conventional p/n junctions.


IEEE Electron Device Letters | 2014

Epitaxial Germanium on SOI Substrate and Its Application of Fabricating High

Che-Wei Chen; Cheng-Ting Chung; Ju-Yuan Tzeng; Pang-Sheng Chang; Guang-Li Luo; Chao-Hsin Chien

In this paper, we demonstrate body-tied Ge tri-gate junctionless (JL) p-channel MOSFETs directly on Si. Our tri-gate JL-PFET exhibits higher current than the conventional inversion-mode transistor through in-situ heavily doped technique and trimming down Ge fin width. We show that the JL-PFET with tri-gate structure has excellent I<sub>ON</sub>/I<sub>OFF</sub> ratio and good short channel effect control on the channel potential. The current ratio is of ~6×10<sup>3</sup>(ID) at V<sub>DS</sub>=-0.1 V, V<sub>GS</sub>=-3, and 0 V. The relatively low OFF-current is of 6 nA/ μm at V<sub>DS</sub>=-0.1 V and V<sub>GS</sub>=0 V. The subthreshold swing of 203 mV/decade and drain induced barrier lowering of 220 mV/V are reported at L<sub>G</sub>=120 nm.


international electron devices meeting | 2009

{\rm I}_{\rm ON}/{\rm I}_{\rm OFF}

Guang-Li Luo; Shih-Chiang Huang; Cheng-Ting Chung; Dawei Heh; Chao-Hsin Chien; Chao-Ching Cheng; Yao-Jen Lee; Wen-Fa Wu; Chiung-Chih Hsu; Mei-Ling Kuo; Jay-Yi Yao; Mao-Nan Chang; C. W. Liu; Chenming Hu; Chun-Yen Chang; Fu-Liang Yang

For the first time, growth of high-quality Ge-rich Ge<inf>1−x</inf>Si<inf>x</inf> (0≤x≤0.14) layers on Ge substrate was demonstrated. An effective suppression of the phosphorus diffusion in Ge<inf>1−x</inf>Si<inf>x</inf> and a better thermal stability of the nickel germanide on Ge<inf>1−x</inf>Si<inf>x</inf> were observed. A higher rectifying ratio with a reduced diode leakage current in n<sup>+</sup>-Ge<inf>1−x</inf>Si<inf>x</inf>/p-Ge<inf>1−x</inf>Si<inf>x</inf> is compared with n<sup>+</sup>-Ge/p-Ge. These results indicate that it is suitable for Ge<inf>1−x</inf>Si<inf>x</inf> to be used as source/drain (S/D) to fabricate the uniaxial tensile-strained channel Ge nMOSFETs.


Journal of The Electrochemical Society | 2009

Ratio Ge FinFETs

Guang-Li Luo; Shih-Chiang Huang; Chih-Hsin Ko; Clement Hsingjen Wann; Cheng-Ting Chung; Zong-You Han; Chao-Ching Cheng; Chun-Yen Chang; Hau-Yu Lin; Chao-Hsin Chien

We investigated the selective growth of germanium into nanoscale trenches on silicon substrates. These nanoscale trenches, the smallest size of which was 50 nm, were fabricated using the state-of-the-art shallow trench isolation technique. The quality of the Ge films was evaluated using transmission electron microscopy. The formation of threading dislocations (TDs) was effectively suppressed when using this deposition technique. For the Ge grown in nanoscale Si areas (e.g., several tens of nanometers), the TDs were probably readily removed during cyclic thermal annealing predominantly because their gliding distance to the SiO 2 sidewalls was very short. Therefore, nanoscale epitaxial growth technology can be used to deposit Ge films on lattice-mismatched Si substrates with a reduced defect density.

Collaboration


Dive into the Cheng-Ting Chung's collaboration.

Top Co-Authors

Avatar

Chao-Hsin Chien

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Guang-Li Luo

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Che-Wei Chen

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Zong-You Han

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Chao-Ching Cheng

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Chun-Yen Chang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Ju-Yuan Tzeng

National Chiao Tung University

View shared research outputs
Researchain Logo
Decentralizing Knowledge