Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chiu-Chih Chiang is active.

Publication


Featured researches published by Chiu-Chih Chiang.


Journal of The Electrochemical Society | 2001

Physical and Electrical Characteristics of F- and C-Doped Low Dielectric Constant Chemical Vapor Deposited Oxides

Zhen-Cheng Wu; Zhi-Wen Shiung; Chiu-Chih Chiang; Wei-Hao Wu; Mao-Chieh Chen; Shwang-Ming Jeng; Weng Chang; Pei-Fen Chou; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

This work compares the physical and electrical properties of two species of inorganie low dielectric constant (low-k) chemical vapor deposited (CVD) oxides, F-doped fluorinated silicate glass (FSG, k = 3.5) and C-doped organosilicate glass (OSG, k - 2.9), Experimental results indicate that FSG has a higher thermal stability (>600°C) than OSG (500°C), based on the results of thermal annealing for 30 min in an N 2 ambient. The degradation of the low-k property in OSG is mainly due to the thermal decomposition of methyl (-CH 3 ) groups at temperatures above 500°C. For the Cu gated oxide-sandwiched low-k dielectric metal-insulator-semiconductor (MIS) capacitors. Cu penetration was observed in both FSG and OSG after the MIS capacitors were bias-lemperature stressed at 250 and 150°C, respectively, with an effective applied field of 0.8 MV/cm. Specifically, Cu appeared to drift more readily in OSG than in FSG, presumably because OSG has a more porous and less dense structure than FSG. The Cu permeation can he impeded by a thin nitride (SiN) harrier layer.


Journal of The Electrochemical Society | 2001

Physical and Electrical Characteristics of Methylsilane- and Trimethylsilane-Doped Low Dielectric Constant Chemical Vapor Deposited Oxides

Zhen-Cheng Wu; Zhi-Wen Shiung; Chiu-Chih Chiang; Wei-Hao Wu; Mao-Chieh Chen; Shwang-Ming Jeng; Weng Chang; Pei-Fen Chou; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

This work investigates the physical and electrical properties of two species of inorganic C-doped low dielectric constant (low-k) chemical vapor deposited (CVD) organosilicate glasses (OSGs, α-SiCO:H). They are both deposited by plasma-enhanced CVD (PECVD) processes using methylsilane [(CH 3 )SiH 3 , 1 MS]- and trimethylsilane [(CH 3 ) 3 SiH, 3 MS]-based gases as the reagents. and are designated as OSGI and OSG2, respectively, Experimental results indicate that the thermal stability temperature of OSG1 is 500°C, while that of OSG2 is 600°C, based on the results of thermal annealing for 30 min in an N 2 ambient. The deterioration of the low-k property in OSG1 is predominately duc to the thermal decomposition at temperatures above 500°C of methyl (-CH 3 ) groups, which are introduced to lower the density and polarizability of OSGs. For the Cu-gated oxide-sandwiched low-k dielectric metal-insulator-semiconductor (MIS) capacitors, Cu permeation was observed in both OSG1 and OSG2 after the MIS eapacitors were bias-temperature stressed at 150°C with an effective applied field of 0.8 MV/cm. Moreover, Cu appeared to drift more readily in OSGI than in OSG2. presumably hecause OSGI has a more porous and less cross-linked structure than OSG2. The Cu penetration can he mitigated by a thin nitride dielectric barrier.


Japanese Journal of Applied Physics | 2003

Physical and Barrier Properties of Plasma-Enhanced Chemical Vapor Deposited α-SiC:H Films from Trimethylsilane and Tetramethylsilane

Chiu-Chih Chiang; Mao-Chieh Chen; Chung-Chi Ko; Zhen-Cheng Wu; Syun-Ming Jang; Mong-Song Liang

This work investigates the thermal stability and physical and barrier properties of two species of plasma-enhanced chemical vapor deposited (PECVD) α-SiC:H silicon carbide films (with k-values less than 5) deposited using trimethylsilane, (CH3)3SiH (3MS) and tetramethylsilane, (CH3)4Si (4MS) organosilicate gases. It is found that the 4MS α-SiC:H film contains a higher content of carbon and has a lower dielectric constant. Both of the 3MS and 4MS α-SiC:H films are thermally stable at temperatures up to 500°C. However, degraded barrier property and moisture resistance were observed for the 4MS α-SiC:H film; this is attributed to the porosity enrichment caused by the films high carbon content. The 3MS α-SiC:H film, which exhibits a superior Cu-barrier property, is a potential candidate for replacing the higher dielectric constant Si3N4 film as a Cu-cap barrier and etching stop layer in the Cu damascene structure.


Journal of The Electrochemical Society | 2004

Improvement in Leakage Current and Breakdown Field of Cu-Comb Capacitor Using a Silicon Oxycarbide Dielectric Barrier

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates in the first place, the improvement in leakage current and breakdown field of the copper metal-insulator-semiconductor (Cu-MIS) capacitor with a plasma-enhanced chemical vapor deposited (PECVD) amorphous silicon oxycarbide (α-SiCO, k = 3.7) dielectric barrier. This is followed by investigating the improvement in leakage current and breakdown field of the Cu-comb capacitor with a carbon-doped low-k PECVD organosilicate glass (k = 3) as the intermetal dielectric and an α-SiCO dielectric film as the Cu cap barrier. The leakage current and breakdown field of Cu-MIS and Cu-comb capacitors are dependent on the species of the dielectric barrier. The Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier exhibit a leakage current at least three orders of magnitude smaller than those with an amorphous silicon carbide (α-SiC, k = 4.4) dielectric barrier at an applied electric field of 1.6 MV/cm between 25 and 250°C. Moreover, the breakdown field of the Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier, measured at 200°C, are 60 and 25%, respectively, higher than that of the capacitors with an α-SiC barrier. The decreased leakage current and increased breakdown field of the Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier are attributed to the higher density, oxygen-improved film property, non-semiconductor behavior, and lower fringe- or surface-electric field of the α-SiCO dielectric film.


Journal of The Electrochemical Society | 2004

Physical and Barrier Properties of Amorphous Silicon-Oxycarbide Deposited by PECVD from Octamethylcyclotetrasiloxane

Chiu-Chih Chiang; Mao-Chieh Chen; Lain-Jong Li; Zhen-Cheng Wu; Syun-Ming Jang; Mong-Song Liang

This work investigates the thermal stability and physical and barrier properties for three species of amorphous silicon-oxycarbide (a-SiCO) dielectric barrier films, deposited by plasma-enhanced chemical vapor deposition (PECVD), to copper (Cu) diffusion using octamethylcyclotetrasiloxane precursor and helium (He) carrier gas with and without oxygen (O 2 ) reaction gas. The α-SiCO dielectric barrier film deposited by PECVD without O 2 reaction gas exhibits a low k-value of 2.8, thermally stable at temperatures up to 550°C, excellent moisture resistance, and superb Cu barrier property until 400°C. With the addition of O 2 reaction gas during the dielectric deposition process, the dielectric constant of the α-SiCO dielectric barrier films increases with increasing flow rate of O 2 reaction gas. Increasing flow rate of O 2 reaction gas during the deposition of the α-SiCO dielectric barrier films also degrades the thermal stability and moisture resistance of the dielectric barrier films. Moreover, the addition of O 2 reaction gas also results in a degraded Cu barrier property of dielectric films.


Japanese Journal of Applied Physics | 2003

Physical and Barrier Properties of Plasma-Enhanced Chemical Vapor Deposited α-SiCN:H Films with Different Hydrogen Contents

Chiu-Chih Chiang; Mao-Chieh Chen; Chung-Chi Ko; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

This work investigates the thermal stability and physical and barrier properties of two species of plasma-enhanced chemical-vapor-deposited (PECVD) amorphous silicon–nitrocarbide (α-SiCN:H) films with different hydrogen contents and dielectric constants of less than 5. It is found that the α-SiCN:H film with a higher hydrogen content has a lower dielectric constant. Both species of α-SiCN:H films are thermally stable at temperatures up to 500°C. However, a degraded dielectric strength was observed for the α-SiCN:H film with a lower k-value of 4, which has a much higher hydrogen content. This may be attributed to hydrogen-related defects, such as Si–H+–Si hydrogen bridges, and numerous Si–H weak bonds produced by the high hydrogen content in the α-SiCN:H film.


Japanese Journal of Applied Physics | 2003

Physical and Barrier Properties of Plasma Enhanced Chemical Vapor Deposition α-SiC:N:H Films

Chiu-Chih Chiang; Zhen-Cheng Wu; Wei-Hao Wu; Mao-Chieh Chen; Chung-Chi Ko; Hsi-Ping Chen; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

In this work, we investigate the thermal stability and physical and barrier properties of three species of plasma enhanced chemical vapor deposition (PECVD) α-SiC:N:H silicon carbide films with different carbon and nitrogen contents and dielectric constants less than a value of 5.5. For comparison, one species of α-SiN:H film with a k value of 7.2 is also studied. It is found that the dielectric constant decreases with increasing content of carbon and decreasing content of nitrogen in the α-SiC:N:H film. All of the three species of α-SiC:N:H and the one species of α-SiN:H films are thermally stable at temperatures up to 500°C. However, degraded barrier capability and moisture resistance were observed for the α-SiC:N:H film with a k value of 3.5, which has a C/Si atomic ratio of 0.875. This is presumably due to the poorly crosslinked molecular structure and porosity enhancement caused by the abundant amount of carbon in the α-SiC:N:H film.


IEEE Electron Device Letters | 2001

Leakage mechanism in Cu damascene structure with methylsilane-doped low-K CVD oxide as intermetal dielectric

Zhen-Cheng Wu; Chiu-Chih Chiang; Wei-Hao Wu; Mao-Chieh Chen; Shwang-Ming Jeng; Lain-Jong Li; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

This letter investigates the leakage mechanism in the Cu damascene structure with methylsilane-doped low-k CVD organosilicate glass (OSG) as the intermetal dielectric (IMD). The leakage between Cu lines was found to be dominated by the Frenkel-Poole (F-P) emission in OSG for the structure using a 50-nm SiC etching stop layer (ESL). In the structure using a 50-nm SiN ESL, the leakage component through SiN also made a considerable contribution to the total leakage in addition to the bulk leakage from trapped electrons in OSG. An appropriate ESL of sufficient thickness is essential to reduce the leakage for application to a Cu damascene integration scheme.This letter investigates the leakage mechanism in the Cu damascene structure with methylsilane-doped low-k CVD organosilicate glass (OSG) as the intermetal dielectric (IMD). The leakage between Cu lines was found to be dominated by the Frenkel-Poole (F-P) emission in OSG for the structure using a 50-nm SiC etching stop layer (ESL). In the structure using a 50-nm SiN ESL, the leakage component through SiN also made a considerable contribution to the total leakage in addition to the bulk leakage from trapped electrons in OSG. An appropriate ESL of sufficient thickness is essential to reduce the leakage for application to a Cu damascene integration scheme.


Journal of The Electrochemical Society | 2004

Leakage and Breakdown Mechanisms of Cu Comb Capacitors with Bilayer-Structured α-SiCN/α-SiC Cu-Cap Barriers

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates the leakage and breakdown mechanisms in copper (Cu) comb capacitors with carbon-doped low-k plasma-enhanced chemical vapor deposited organosilicate glass (OSG; k = 3) as the intermetal dielectric and an α-SiCN (k = 5)/α-SiC (k = 4) bilayer-structured dielectric film as the Cu-cap barrier. The leakage mechanism between Cu lines is dependent on the thickness ratio of the α-SiCN/α-SiC bilayer barrier. Using an α-SiCN/α-SiC bilayer barrier of 40 nm/10 nm or 30 nm/20 nm bilayer thickness, the increased leakage current (Frenkel-Poole emission) between Cu lines is attributed to the large number of interfacial defects, such as cracks, voids, traps or dangling bonds at the α-SiC/OSG interface, which are generated by the larger tensile force of the thicker α-SiC film. The Cu comb capacitor with an α-SiCN (50 nm)/α-SiC (2 nm) bilayer barrier exhibits a much smaller leakage current. The breakdown field and time-dependent dielectric breakdown lifetime of the Cu comb capacitor reveal little dependence on the thickness ratio of the α-SiCN/α-SiC bilayer barrier, and the observed breakdown of the Cu comb capacitor is presumably due to dielectric breakdown of the bulk OSG layer.


Journal of The Electrochemical Society | 2004

TDDB Reliability Improvement of Cu Damascene with a Bilayer-Structured α-SiC:H Dielectric Barrier

Chiu-Chih Chiang; Mao-Chieh Chen; Zhen-Cheng Wu; Lain-Jong Li; Syun-Ming Jang; Chen-Hua Yu; Mong-Song Liang

This work investigates the thermal stability and physical and barrier characteristics of two species of amorphous silicon carbide dielectric films: the nitrogen-containing α-SiCN film with a dielectric constant of 4.9 and the nitrogen-free α-SiC film with a dielectric constant of 3.8. The time-dependent-dielectric-breakdown (TDDB) lifetime of the Cu damascene metallization structure is greatly improved by using an α-SiCN/α-SiC bilayer dielectric stack as the barrier layer. This improvement is attributed to the lower leakage current of α-SiC, absence of nitridation on the Cu surface, and better adhesion of a-SiC on Cu and organosilicate glass intermetal dielectric. Although the α-SiC film has a very low deposition rate, the α-SiCN/α-SiC bilayer dielectric is a favorable combination for the barrier layer because α-SiCN can protect α-SiC from plasma attack, such as O 2 plasma attack during photoresist stripping and organosilicate plasma attack during organosilicate glass deposition.

Collaboration


Dive into the Chiu-Chih Chiang's collaboration.

Top Co-Authors

Avatar

Mao-Chieh Chen

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Zhen-Cheng Wu

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

I-Hsiu Ko

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Wei-Hao Wu

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Lain-Jong Li

King Abdullah University of Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge