Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yung-Cheng Lu is active.

Publication


Featured researches published by Yung-Cheng Lu.


Journal of The Electrochemical Society | 2004

Improvement in Leakage Current and Breakdown Field of Cu-Comb Capacitor Using a Silicon Oxycarbide Dielectric Barrier

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates in the first place, the improvement in leakage current and breakdown field of the copper metal-insulator-semiconductor (Cu-MIS) capacitor with a plasma-enhanced chemical vapor deposited (PECVD) amorphous silicon oxycarbide (α-SiCO, k = 3.7) dielectric barrier. This is followed by investigating the improvement in leakage current and breakdown field of the Cu-comb capacitor with a carbon-doped low-k PECVD organosilicate glass (k = 3) as the intermetal dielectric and an α-SiCO dielectric film as the Cu cap barrier. The leakage current and breakdown field of Cu-MIS and Cu-comb capacitors are dependent on the species of the dielectric barrier. The Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier exhibit a leakage current at least three orders of magnitude smaller than those with an amorphous silicon carbide (α-SiC, k = 4.4) dielectric barrier at an applied electric field of 1.6 MV/cm between 25 and 250°C. Moreover, the breakdown field of the Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier, measured at 200°C, are 60 and 25%, respectively, higher than that of the capacitors with an α-SiC barrier. The decreased leakage current and increased breakdown field of the Cu-MIS and Cu-comb capacitors with an α-SiCO dielectric barrier are attributed to the higher density, oxygen-improved film property, non-semiconductor behavior, and lower fringe- or surface-electric field of the α-SiCO dielectric film.


Journal of The Electrochemical Society | 2004

Curing Process Window and Thermal Stability of Porous MSQ-Based Low-Dielectric-Constant Materials

Shou-Yi Chang; Tzu-Jen Chou; Yung-Cheng Lu; Syun-Ming Jang; Su-Jien Lin; Mong-Song Liang

The curing process window and properties of both nitrogen (N 2 ) and ammonia (NH 3 ) cured porous methyl silsesquioxane (MSQ) based low-dielectric-constant (low-k) spin-on dielectric (SOD) films are comprehensively explored and compared in this research. Higher curing temperatures, longer curing time, and an NH 3 atmosphere provide more complete poragen removal and H-OR/ H-OH hydration, and thus achieve higher degrees of cross-linking and volume shrinkage of these films. The desorption of water and ethylic organics confirms the release of hydrated ROH/H 2 O and is dominated by the curing temperatures. Smaller refractive indexes, better electrical properties, and stronger mechanical properties are obtained with NH 3 curing and increased curing temperatures and time because of more complete hydration. With consideration of total thermal budget, the appropriate curing process window locates at 350°C for only 10 min or 300°C for 20-30 min with N 2 /NH 3 flows of 10/0.1-10/2.0 slm. All the properties do not degrade with extended thermal-cycle treatments, exhibiting good thermal stability.


Journal of The Electrochemical Society | 2004

Leakage and Breakdown Mechanisms of Cu Comb Capacitors with Bilayer-Structured α-SiCN/α-SiC Cu-Cap Barriers

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates the leakage and breakdown mechanisms in copper (Cu) comb capacitors with carbon-doped low-k plasma-enhanced chemical vapor deposited organosilicate glass (OSG; k = 3) as the intermetal dielectric and an α-SiCN (k = 5)/α-SiC (k = 4) bilayer-structured dielectric film as the Cu-cap barrier. The leakage mechanism between Cu lines is dependent on the thickness ratio of the α-SiCN/α-SiC bilayer barrier. Using an α-SiCN/α-SiC bilayer barrier of 40 nm/10 nm or 30 nm/20 nm bilayer thickness, the increased leakage current (Frenkel-Poole emission) between Cu lines is attributed to the large number of interfacial defects, such as cracks, voids, traps or dangling bonds at the α-SiC/OSG interface, which are generated by the larger tensile force of the thicker α-SiC film. The Cu comb capacitor with an α-SiCN (50 nm)/α-SiC (2 nm) bilayer barrier exhibits a much smaller leakage current. The breakdown field and time-dependent dielectric breakdown lifetime of the Cu comb capacitor reveal little dependence on the thickness ratio of the α-SiCN/α-SiC bilayer barrier, and the observed breakdown of the Cu comb capacitor is presumably due to dielectric breakdown of the bulk OSG layer.


Journal of The Electrochemical Society | 2004

Physical and Barrier Properties of PECVD Amorphous Silicon-Oxycarbide from Trimethylsilane and CO 2

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates the thermal stability and physical and barrier properties of amorphous silicon-carbide (a-SiC) and amorphous silicon-oxycarbide (a-SiCO) dielectric barriers deposited by plasma-enhanced chemical vapor deposition (PECVD) using trimethylsilane (3MS) precursor and He carrier gas. Films were deposited without and with various CO 2 flow rates. The dielectric constant of the α-SiCO films decreased with increasing CO 2 flow rate. Increasing CO 2 flow rate also promotes better thermal stability, higher breakdown field, lower leakage current, and superior resistance to Cu diffusion through the films. The improved barrier property is attributed to the denser and less porous structure of the α-SiCO dielectric barrier upon CO 2 addition. The α-SiCO barrier films deposited with the large (1200 sccm) CO 2 flow rate exhibit the low k value of 3.7, thermal stability up to 550°C, room-temperature breakdown field of 8 MV/cm and leakage current densities of 10 -7 to 10 -6 A/cm 2 at 3 MV/cm, and a superb Cu barrier property.


international interconnect technology conference | 2003

Leakage and breakdown mechanisms in Cu damascene with a bilayer-structured /spl alpha/-SiCN//spl alpha/-SiC dielectric barrier

Chiu-Chih Chiang; I-Hsiu Ko; Mao-Chieh Chen; Zhen-Cheng Wu; Yung-Cheng Lu; Syun-Ming Jang; Mong-Song Liang

This work investigates the leakage and breakdown mechanisms in the Cu damascene structure with a carbon-doped low-k PECVD organosilicate glass (OSG, k=3) as the intermetal dielectric (IMD) and an /spl alpha/-SiCN(k=5)//spl alpha/-SiC(k=4) bilayer-structured dielectric film as the Cu-cap barrier. It is found that the leakage mechanism between Cu lines is dependent on the thickness ratio of the /spl alpha/-SiCN//spl alpha/-SiC bilayer barrier in the Cu damascene structure. In the Cu damascene using an /spl alpha/-SiCN//spl alpha/-SiC bilayer barrier of 40 nm/10 nm or 30 nm/20 nm bilayer thickness, the large leakage current (Frenkel-Poole emission) between Cu lines is attributed to the plenty of interfacial defects, such as cracks, voids, traps or dangling bonds at the /spl alpha/-SiC/OSG interface, which are generated by the larger tensile force of the thicker /spl alpha/-SiC film. On the other hand, the breakdown field and TDDB (time-dependent-dielectric-breakdown) lifetime of the Cu damascene reveal little dependence on the thickness ratio of the /spl alpha/-SiCN//spl alpha/-SiC bilayer barrier, and the observed breakdown of the Cu damascene structure is presumably due to dielectric breakdown of the bulk OSG layer.


international interconnect technology conference | 2004

Robust low-k film (k=2.1/spl sim/2.5) for 90/65 nm BEOL technology using bilayer film schemes

H.L. Chang; Yung-Cheng Lu; L.P. Li; B.T. Chen; K.C. Lin; S.M. Jeng; Syun-Ming Jang; Mong-Song Liang

Cu/porous low-k (PLK) with k/spl les/2.5 is the current choice to 65nm and beyond BEOL interconnect technologies. However, critical concerns of the weak physical and chemical structures of PLK (k/spl les/2.5) films on their integration compatibilities, such as CMP defectivity and trench bottom/via smoothness, electrical performances, such as etching/ashing film damaging, and reliability performances, such as electromigration (EM), stress migration (SM) and time-dependent dielectric breakdown (TDDB), still challenge their application feasibility. A novel in-situ formed trench-porous (k=2.5) and via-dense (k=2.7) k=2.5/2.7 bilayer film design was proposed in this study to overcome these facing issues. Cu/PLK DD study results showed that CMP defectivity was /spl sim/4/spl times/ improved and trench bottom was smoothened with a k=2.5/2,7 bilayer PLK approach. Electrical performances using this approach also showed that film damaging from DD etching/ashing was reduced with the higher chemical resistance of the via in the bilayer. Reliability study results demonstrated that an /spl sim/ 2000/spl times/ better DD TDDB lifetime was achieved due to smooth trench bottoms. When changing from Cu/k=2.5 single layer to Cu/k=2.5/2.7 bilayer, SM and EM performances were not impacted. Moreover, with >405 improved hardness and film adhesion the bilayer PLK approach highlights a potential direction to improve Cu/k=2.5 PLK manufacturability in packaging. All these results indicate that this Cu/bilayer BEOL interconnection applicable for 65 nm and beyond generation CMOS technologies.


international interconnect technology conference | 2005

Using a low-k material with k=2.5 formed by a novel quasi-porogen approach for 65 nm Cu/LK interconnects

C.C. Ko; C.H. Lin; L.P. Li; K.C. Lin; Yung-Cheng Lu; S.M. Jeng; Chung-Yi Yu; Mong-Song Liang

A porous k=2.5 low-k material (LK2.5) with its pore size distribution and mechanical properties comparable to the ones of k=3.0 low-k materials (LK3.0) was developed by a novel quasi-porogen approach for 65 nm BEOL Cu dual damascene (DD) interconnects. As compared to the Cu/LK3.0 DD, the Cu/LK2.5 DD, processed using similar etching and ashing processes and chemistries, showed a 14% line-to-line (L-L) capacitance reduction at S=0.1 /spl mu/m. Other physical, electrical and reliability results show that the Cu/LK2.5 DD is comparable to the Cu/LK3.0 DD with no degradations commonly associated with conventional porous LK2.5s formed using porogens, such as peeling or LK recess in CMP, k increase or trench bottom roughening in patterning, high L-L leakage, low L-L Vbd or low SM resistance. Further process extendibility studies revealed that k<2.0 is also achievable using this quasi-porogen approach which strongly enables its applicability to current and future Cu/LK BEOL technologies.


international interconnect technology conference | 2003

Novel dual damascene patterning technology for ultra low-/spl kappa/ dielectrics

Ching-Fu Yeh; Yung-Cheng Lu; T.C. Wu; H.H. Lu; C.C. Chen; H.J. Tao; Mong-Song Liang

In this article, we present a novel via-sealing-architecture (VISA) dual damascene patterning technology, featuring with immunity from PR poisoning and ash-induced degradation of porous low-k dielectrics, and planar surface topology for both via and trench lithography. Its electrical performance is demonstrated by integrating Cu and porous organosilicate glass (OSG), /spl kappa/=2.2, with 90 nm design rule and 193 nm lithography on the 300 mm wafer. The new architecture, which consists of depositing hard-mask dielectrics over the etched hole to form a sealed structure, enables this patterning technology extending to 65 nm generation and below without influenced by low-k materials and lithography technology.


international interconnect technology conference | 2003

Fundamental, integration, and reliability of the 90 nm generation Cu/LK(k=2.5) damascene using a novel PECVD porous low-k dielectric film

Y.L. Yang; L.P. Li; H. Ouyang; Yung-Cheng Lu; H.H. Lu; C.H. Lin; K.C. Lin; Syun-Ming Jang; Mong-Song Liang

A novel PECVD porous low-k material with k=2.5, LK(k=2.5), has been successfully integrated with Cu for 90 nm generation BEOL interconnect technology on 300 mm wafers. Fundamental film studies showed that this low-k material is thermally stable up to 400/spl deg/C and can be strongly adhered to various dielectric films. Electrical measurement results from the Cu/LK(k=2.5) damascene interconnect showed tight and 100%-yielded distributions in 0.12/0.12 /spl mu/m interline leakage, one million 0.13 /spl mu/m viachain via Rc and 0.12 /spl mu/m Cu line Rs. To maximize the Cu/LK(k=2.5) interconnect capacitance performance, no middle etch stop layer and no top CMP cap were used in the dielectric film stacking. The final k value of the LK(k=2.5) after integration was retained at 2.5 using an optimized PR ashing chemistry by comparing the Cu/LK(2.5) 0.12/0.12 /spl mu/m interline capacitance to a Cu/LK(3.0) one. The intrinsic BEOL time dependent dielectric breakdown (TDDB) lifetime, T/sub 63,/ of the Cu/LK(k=2.5) is predicted to be 4.56/spl times/10/sup 8/ yrs at 0.3 MV/cm and 125/spl deg/C. Further reliability evaluations of the Cu/LK(k=2.5) in electromigration (EM) and stress migration (SM) showed that its predicted T/sub 0.1/ EM lifetimes for 0.12 /spl mu/m Cu line or 0.13 /spl mu/m via at 1 MA/cm2 and 110/spl deg/C are 152k hrs or 144k hrs, and its SM failure rate (>10% shift in Rc) is zero after 500hr annealing at 175/spl deg/C. Finally, the packaging feasibility of this Cu/LK(k=2.5) damascene interconnect was also demonstrated using current wire bonding technologies.


Thin Solid Films | 2004

Mechanical property analyses of porous low-dielectric-constant films for stability evaluation of multilevel-interconnect structures

Shou-Yi Chang; Hui-Lin Chang; Yung-Cheng Lu; Syun-Ming Jang; Su-Jien Lin; Mong-Song Liang

Collaboration


Dive into the Yung-Cheng Lu's collaboration.

Top Co-Authors

Avatar

Chiu-Chih Chiang

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

I-Hsiu Ko

National Chiao Tung University

View shared research outputs
Researchain Logo
Decentralizing Knowledge